Prithviraj Banerjee
Affiliations:- Northwestern University, Illinois, USA
According to our database1,
Prithviraj Banerjee
authored at least 289 papers
between 1983 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2000, "For contributions in parallel computing, specifically in the design of parallel algorithms for VLSI CAD, and the development of parallelizing compiler techniques for distributed memory multiprocessors.".
IEEE Fellow
IEEE Fellow 1995, "For contributions to the application of parallel computing to computer-aided design of VLSI circuits.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on id.loc.gov
-
on d-nb.info
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
2022
Hybrid Digital Twins: A Primer on Combining Physics-Based and Data Analytics Approaches.
IEEE Softw., 2022
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, 2022
2014
Proceedings of the Computer Vision - ECCV 2014, 2014
Proceedings of the Computer Vision - ACCV 2014, 2014
2012
On a Technique for Transparently Empowering Classical Compiler Optimizations on Multithreaded Code.
ACM Trans. Program. Lang. Syst., 2012
Proceedings of the 21st International Conference on Pattern Recognition, 2012
2011
A technique for the effective and automatic reuse of classical compiler optimizations on multithreaded code.
Proceedings of the 38th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, 2011
The runtime abort graph and its application to software transactional memory optimization.
Proceedings of the CGO 2011, 2011
Learning neighborhood cooccurrence statistics of sparse features for human activity recognition.
Proceedings of the 8th IEEE International Conference on Advanced Video and Signal-Based Surveillance, 2011
Resource optimization and deadlock prevention while generating streaming architectures from ordinary programs.
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011
2010
Proceedings of the Seventh Indian Conference on Computer Vision, 2010
Proceedings of the 39th International Conference on Parallel Processing, 2010
Proceedings of the Distributed Computing and Networking, 11th International Conference, 2010
Proceedings of the Seventh IEEE International Conference on Advanced Video and Signal Based Surveillance, 2010
2009
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009
Proceedings of the 46th Design Automation Conference, 2009
Complete-k-distinguishability for retiming and resynthesis equivalence checking without restricting synthesis.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
2008
Proceedings of the 8th ACM & IEEE International conference on Embedded software, 2008
A dynamic-programming algorithm for reducing the energy consumption of pipelined System-Level streaming applications.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
2007
IEEE Trans. Very Large Scale Integr. Syst., 2007
Low-Power Optimization by Smart Bit-Width Allocation in a SystemC-Based ASIC Design Environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007
2006
ACM Trans. Program. Lang. Syst., 2006
Int. J. Simul. Process. Model., 2006
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Smart bit-width allocation for low power optimization in a systemc based ASIC design environment.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
2005
An Algorithm for Trading Off Quantization Error with Hardware Resources for MATLAB-Based FPGA Design.
IEEE Trans. Computers, 2005
High-Level Synthesis for Low Power Hardware Implementation of Unscheduled Data-Dominated Circuits.
J. Low Power Electron., 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Generation of Control and Data Flow Graphs from Scheduled and Pipelined Assembly Code.
Proceedings of the Languages and Compilers for Parallel Computing, 2005
Proceedings of the 42nd Design Automation Conference, 2005
An Efficient System-Level to RTL Verification Framework for Computation-Intensive Applications.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004
Evaluation of scheduling and allocation algorithms while mapping assembly code onto FPGAs.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004
An algorithm for trading off quantization error with hardware resources for MATLAB based FPGA design.
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004
Proceedings of the 2004 Design, 2004
An algorithm for converting floating-point computations to fixed-point in MATLAB based FPGA design.
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 41th Design Automation Conference, 2004
2003
Reducing False Sharing and Improving Spatial Locality in a Unified Compilation Framework.
IEEE Trans. Parallel Distributed Syst., 2003
IEEE Trans. Computers, 2003
Proceedings of the ACM SIGPLAN 2003 Conference on Programming Language Design and Implementation 2003, 2003
Making area-performance tradeoffs at the high level using the AccelFPGA compiler for FPGAs.
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2003
An Automated and Power-Aware Framework for Utilization of IP Cores in Hardware Generated from C Descriptions Targeting FPGAs.
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003
Automatic Conversion of Floating Point MATLAB Programs into Fixed Point FPGA Based Hardware Design.
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003
Proceedings of the Compiler Construction, 12th International Conference, 2003
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003
2002
IEEE Trans. Computers, 2002
Proceedings of the Distributed Computing, 2002
PACT HDL: a C compiler targeting ASICs and FPGAs with power and performance optimizations.
Proceedings of the International Conference on Compilers, 2002
2001
IEEE Trans. Parallel Distributed Syst., 2001
IEEE Trans. Parallel Distributed Syst., 2001
ACM Trans. Design Autom. Electr. Syst., 2001
IEEE Trans. Computers, 2001
Handling context-sensitive syntactic issues in the design of a front-end for a MATLAB compiler.
ACM SIGAPL APL Quote Quad, 2001
A Parallel Implementation of a Fast Multipole-Based 3-D Capacitance Extraction Program on Distributed Memory Multicomputers.
J. Parallel Distributed Comput., 2001
Int. J. Parallel Program., 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the Languages and Compilers for Parallel Computing, 2001
Proceedings of the 15th international conference on Supercomputing, 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2001
Precision and error analysis of MATLAB applications during automated hardware synthesis for FPGAs.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001
Compiler Optimization of Dynamic Data Distributions for Distributed-Memory Multicomputers.
Proceedings of the Compiler Optimizations for Scalable Parallel Systems Languages, 2001
Automated synthesis of pipelined designs on FPGAs for signal and image processing applications described in MATLAB.
Proceedings of ASP-DAC 2001, 2001
Proceedings of the 2001 International Conference on APL: An Arrays Odyssey, 2001
2000
Compiler and Run-Time Support for Exploiting Regularity within Irregular Applications.
IEEE Trans. Parallel Distributed Syst., 2000
IEEE Trans. Parallel Distributed Syst., 2000
Proceedings of the Languages and Compilers for Parallel Computing, 2000
CHIMAERA: a high-performance architecture with a tightly-coupled reconfigurable functional unit.
Proceedings of the 27th International Symposium on Computer Architecture (ISCA 2000), 2000
A Parallel Implementation of a Fast Multipole Based 3-D Capacitance Extraction Program on Distributed Memory Multicomputer.
Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), 2000
Fine-Grained Parallel VLSI Synthesis for Commercial CAD on a Network of Workstations.
Proceedings of the 2000 International Conference on Parallel Processing, 2000
Proceedings of the 2000 International Conference on Parallel Processing, 2000
Comparative Study of Parallel Algorithms for 3-D Capacitance Extraction on Distributed Memory Multiprocessors.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2000
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000
Proceedings of the 2000 Design, 2000
Scheduling algorithms for automated synthesis of pipelined designs on FPGAs for applications described in MATLAB.
Proceedings of the 2000 International Conference on Compilers, 2000
1999
IEEE Trans. Parallel Distributed Syst., 1999
A global communication optimization technique based on data-flow analysis and linear algebra.
ACM Trans. Program. Lang. Syst., 1999
Parallel Algorithms for Force Directed Scheduling of Flattened and Hierarchical Signal Flow Graphs.
IEEE Trans. Computers, 1999
J. Parallel Distributed Comput., 1999
J. Parallel Distributed Comput., 1999
Simultaneous Scheduling, Binding and Floorplanning for Interconnect Power Optimization.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999
Improving Locality Using a Graph-Based Technique for Detecting Memory Layouts of Arrays.
Proceedings of the Ninth SIAM Conference on Parallel Processing for Scientific Computing, 1999
Proceedings of the Languages and Compilers for Parallel Computing, 1999
Incremental capacitance extraction and its application to iterative timing-driven detailed routing.
Proceedings of the 1999 International Symposium on Physical Design, 1999
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999
A Graph Based Framework to Detect Optimal Memory Layouts for Improving Data Locality.
Proceedings of the 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 1999
Proceedings of the 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 1999
A Novel Compilation Framework for Supporting Semi-Regular Distributions in Hybrid Applications.
Proceedings of the 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 1999
Proceedings of the 13th international conference on Supercomputing, 1999
A Framework for Interprocedural Locality Optimization Using Both Loop and Data Layout Transformations.
Proceedings of the International Conference on Parallel Processing 1999, 1999
Proceedings of the High Performance Computing, 1999
ICE: Incremental 3-Dimensional Capacitance and Resistance Extraction for an Iterative Design Environment.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999
Proceedings of the Digest of Papers: FTCS-29, 1999
Proceedings of the 36th Conference on Design Automation, 1999
Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, 1999
1998
Efficient equivalence checking of multi-phase designs using phase abstraction and retiming.
ACM Trans. Design Autom. Electr. Syst., 1998
IEEE Trans. Computers, 1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the 31st Annual IEEE/ACM International Symposium on Microarchitecture, 1998
A Loop Transformation Algorithm Based on Explicit Data Layout Representation for Optimizing Locality.
Proceedings of the Languages and Compilers for Parallel Computing, 1998
Proceedings of the 1998 International Symposium on Physical Design, 1998
Proceedings of the 12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing (IPPS/SPDP '98), March 30, 1998
Evaluation of Compiler and Runtime Library Approaches for Supporting Parallel Regular Applications.
Proceedings of the 12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing (IPPS/SPDP '98), March 30, 1998
Proceedings of the 12th international conference on Supercomputing, 1998
Proceedings of the 12th international conference on Supercomputing, 1998
Proceedings of the 12th international conference on Supercomputing, 1998
Proceedings of the 1998 International Conference on Parallel Processing (ICPP '98), 1998
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
Proceedings of the 5th International Conference On High Performance Computing, 1998
Proceedings of the Euro-Par '98 Parallel Processing, 1998
PowerShake: A Low Power Driven Clustering and Factoring Methodology for Boolean Expressions.
Proceedings of the 1998 Design, 1998
Proceedings of the 35th Conference on Design Automation, 1998
Proceedings of the 35th Conference on Design Automation, 1998
An Implicit Algorithm for Finding Steady States and its Application to FSM Verification.
Proceedings of the 35th Conference on Design Automation, 1998
Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, 1998
1997
A Framework for Exploiting Task and Data Parallelism on Distributed Memory Multicomputers.
IEEE Trans. Parallel Distributed Syst., 1997
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997
An evaluation of parallel simulated annealing strategies with application to standard cell placement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997
J. Syst. Archit., 1997
J. Parallel Distributed Comput., 1997
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997
Proceedings of the Eleventh Workshop on Parallel and Distributed Simulation, 1997
Proceedings of the 11th International Parallel Processing Symposium (IPPS '97), 1997
Proceedings of the 11th International Parallel Processing Symposium (IPPS '97), 1997
Performance Evaluation of Message-Driven Parallel VLSI CAD Applications on General Purpose Multiprocessors.
Proceedings of the 11th international conference on Supercomputing, 1997
Proceedings of the 1997 International Conference on Parallel Processing (ICPP '97), 1997
Proceedings of the 1997 International Conference on Parallel Processing (ICPP '97), 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Proceedings of the 30th Annual Hawaii International Conference on System Sciences (HICSS-30), 1997
Proceedings of the 34st Conference on Design Automation, 1997
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997
1996
Algorithm-Based Error Detection Schemes for Iterative Solution of Partial Differential Equations.
IEEE Trans. Computers, 1996
Algorithm-Based Fault Location and Recovery for Matrix Computations on Multiprocessor Systems.
IEEE Trans. Computers, 1996
Efficient Techniques for the Analysis of Algorithm-Based Fault Tolerance (ABFT) Schemes.
IEEE Trans. Computers, 1996
A New Error Analysis Based Method for Tolerance Computation for Algorithm-Based Checks.
IEEE Trans. Computers, 1996
Optimizations for Efficient Array Redistribution on Distributed Memory Multicomputers.
J. Parallel Distributed Comput., 1996
J. Parallel Distributed Comput., 1996
J. Parallel Distributed Comput., 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the Eighth IEEE Symposium on Parallel and Distributed Processing, 1996
Proceedings of the Tenth Workshop on Parallel and Distributed Simulation, 1996
Proceedings of the Languages and Compilers for Parallel Computing, 1996
Proceedings of the Parallel Algorithms for Irregularly Structured Problems, 1996
Proceedings of IPPS '96, 1996
Proceedings of the 10th international conference on Supercomputing, 1996
Proceedings of the 1996 International Conference on Parallel Processing, 1996
Proceedings of the Digest of Papers: FTCS-26, 1996
Proceedings of the Euro-Par '96 Parallel Processing, 1996
1995
IEEE Trans. Very Large Scale Integr. Syst., 1995
Parallel Process. Lett., 1995
Automatic Selection of Dynamic Data Partitioning Schemes for Distributed-Memory Multicomputers.
Proceedings of the Languages and Compilers for Parallel Computing, 1995
Proceedings of IPPS '95, 1995
Proceedings of IPPS '95, 1995
Advanced Compilation Techniques in the PARADIGM Compiler for Distributed-memory Multicomputers.
Proceedings of the 9th international conference on Supercomputing, 1995
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995
Software Schemes of Reconfiguration and Recovery in Distributed Memory Multicomputers Using the Actor Model.
Proceedings of the Digest of Papers: FTCS-25, 1995
1994
IEEE Trans. Very Large Scale Integr. Syst., 1994
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994
Design and Evaluation of Hardware Strategies for Reconfiguring Hypercubes and Meshes Under Faults.
IEEE Trans. Computers, 1994
A library-based approach to portable, parallel, object-oriented programming: interface, implementation, and application.
Proceedings of the Proceedings Supercomputing '94, 1994
Proceedings of the 8th International Symposium on Parallel Processing, 1994
Processor Tagged Descriptors: A Data Structure for Compiling for Distributed-Memory Multicomputers.
Proceedings of the Parallel Architectures and Compilation Techniques, 1994
Techniques to overlap computation and communication in irregular iterative applications.
Proceedings of the 8th international conference on Supercomputing, 1994
A Convex Programming Approach for Exploiting Data and Functional Parallelism on Distributed Memory Multicomputers.
Proceedings of the 1994 International Conference on Parallel Processing, 1994
Communication Optimizations Used in the PARADIGM Compiler for Distributed Memory Multicomputers.
Proceedings of the 1994 International Conference on Parallel Processing, 1994
Proceedings of the 1994 International Conference on Parallel Processing, 1994
Proceedings of the Digest of Papers: FTCS/24, 1994
ProperHITEC: A Portable, Parallel, Object-Oriented Approach to Sequential Test Generation.
Proceedings of the 31st Conference on Design Automation, 1994
Parallel algorithms for VLSI computer-aided design.
Prentice Hall, ISBN: 978-0-13-015835-2, 1994
1993
IEEE Trans. Very Large Scale Integr. Syst., 1993
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
J. Parallel Distributed Comput., 1993
Proceedings of the Sixth International Conference on VLSI Design, 1993
Proceedings of the Seventh International Parallel Processing Symposium, 1993
Proceedings of the 7th international conference on Supercomputing, 1993
Proceedings of the 1993 International Conference on Parallel Processing, 1993
Proceedings of the 1993 International Conference on Parallel Processing, 1993
Processor Allocation and Scheduling of Macro Dataflow Graphs on Distributed Memory Multicomputers by the PARADIGM Compiler.
Proceedings of the 1993 International Conference on Parallel Processing, 1993
Proceedings of the 1993 International Conference on Parallel Processing, 1993
Tolerance Determination for Algorithm-Based Checks Using Simplified Error Analysis Techniques.
Proceedings of the Digest of Papers: FTCS-23, 1993
Proceedings of the 30th Design Automation Conference. Dallas, 1993
Proceedings of the Algorithmic Aspects of VLSI Layout, 1993
1992
Performance Measurement and Trace Driven Simulation of Parallel CAD and Numeric Applications on a Hypercube Multicomputer.
IEEE Trans. Parallel Distributed Syst., 1992
Demonstration of Automatic Data Partitioning Techniques for Parallelizing Compilers on Multicomputers.
IEEE Trans. Parallel Distributed Syst., 1992
Parallel Algorithms for Geometric Connected Component Labeling on a Hypercube Multiprocessor.
IEEE Trans. Computers, 1992
Reconfiguration Strategies for VLSI Processor Arrays and Trees Using a Modified Diogenes Approach.
IEEE Trans. Computers, 1992
Proceedings of the 6th International Parallel Processing Symposium, 1992
Proceedings of the 6th international conference on Supercomputing, 1992
Low Cost Concurrent Error Detection in a VLIW Architecture Using Replicated Instructions.
Proceedings of the 1992 International Conference on Parallel Processing, 1992
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992
Design and Analysis of Software Reconfiguration Strategies for Hypercube Multicomputers under Multiple Faults.
Proceedings of the Digest of Papers: FTCS-22, 1992
Proceedings of the 29th Design Automation Conference, 1992
1991
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991
Empirical and theoretical studies of the simulated evolution method applied to standard cell placement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991
Proceedings of the Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30, 1991
Compiler Support for Parallel I/O Operations.
Proceedings of the International Conference on Parallel Processing, 1991
Performance Evaluation of Hardware Support for Message Passing in Distributed Memory Multicomputers.
Proceedings of the International Conference on Parallel Processing, 1991
CRAFT: Compiler-Assisted Algorithm-Based Fault Tolerance in Distributed Memory Multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1991
Proceedings of the 1991 International Symposium on Fault-Tolerant Computing, 1991
Proceedings of the conference on European design automation, 1991
Proceedings of the 28th Design Automation Conference, 1991
1990
Tradeoffs in the Design of Efficient Algorithm-Based Error Detection Schemes for Hypercube Multiprocessors.
IEEE Trans. Software Eng., 1990
IEEE Trans. Parallel Distributed Syst., 1990
Parallel Simulated Annealing Algorithms for Cell Placement on Hypercube Multiprocessors.
IEEE Trans. Parallel Distributed Syst., 1990
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990
IEEE Trans. Computers, 1990
IEEE Trans. Computers, 1990
IEEE Trans. Computers, 1990
Proceedings of the Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990, 1990
Proceedings of the 17th Annual International Symposium on Computer Architecture, 1990
Hardware Support for Message Routing in a Distributed Memory Multicomputer.
Proceedings of the 1990 International Conference on Parallel Processing, 1990
Geometric Connected Component Labeling on Distributed Memory Multicomputers.
Proceedings of the 1990 International Conference on Parallel Processing, 1990
An Approximate Algorithm for the Partitionable Independent Task Scheduling Problem.
Proceedings of the 1990 International Conference on Parallel Processing, 1990
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990
Distributed algorithms for shortest-path, deadlock-free routing and broadcasting in arbitrarily faulty hypercubes.
Proceedings of the 20th International Symposium on Fault-Tolerant Computing, 1990
Proceedings of the 20th International Symposium on Fault-Tolerant Computing, 1990
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990
1989
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989
The Design, Analysis and Simulation of a Fault-Tolerant Interconnection Network Supporting the Fetch-and-Add Primitive.
IEEE Trans. Computers, 1989
Proceedings of the Proceedings Supercomputing '89, Reno, NV, USA, November 12-17, 1989, 1989
Algorithm-based Error Detection for Signal Processing Applications on a Hypercube Multiprocessor.
Proceedings of the Real-Time Systems Symposium, 1989
Fault Partitioning Issues in an Integrated Parallel Test Generation/Fault Simulation Environment.
Proceedings of the Proceedings International Test Conference 1989, 1989
Proceedings of the 3rd international conference on Supercomputing, 1989
Performance Evaluation of Multiple-Disk I/O Systems.
Proceedings of the International Conference on Parallel Processing, 1989
Algorithm-Based Fault Tolerance for Adaptive Least Squares Lattice Filtering on a Hypercube Multiprocessor.
Proceedings of the International Conference on Parallel Processing, 1989
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989
A Parallel Row-based Algorithm for Standard Cell Placement with Integrated Error Control.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989
1988
On the Construction of Communication Networks Satisfying Bounded Fan-In of Service Ports.
IEEE Trans. Computers, 1988
IEEE Trans. Computers, 1988
I/O Embedding in Hypercubes.
Proceedings of the International Conference on Parallel Processing, 1988
A parallel simulated annealing algorithm for channel routing on a hypercube multiprocessor.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988
Proceedings of the Eighteenth International Symposium on Fault-Tolerant Computing, 1988
Proceedings of the Third Conference on Hypercube Concurrent Computers and Applications, 1988
1987
J. Parallel Distributed Comput., 1987
A Fixed Size Array Processor for Computing the Fast Fourier Transform.
Proceedings of the 8th IEEE Real-Time Systems Symposium (RTSS '87), 1987
Proceedings of the Third International Conference on Data Engineering, 1987
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987
Performance of a Parallel Algorithm for Standard Cell Placement on the Intel Hypercube.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987
1986
IEEE Trans. Computers, 1986
A Probabilistic Model of Algorithm-Based Fault Tolerance in Array Processors for Real-Time Systems.
Proceedings of the 7th IEEE Real-Time Systems Symposium (RTSS '86), 1986
A Fault-Tolerant Interconnection Network Supporting the Fetch-And-Add Primitive.
Proceedings of the International Conference on Parallel Processing, 1986
RECBAR : A Reconfigurable Massively Parallel Processing Architecture.
Proceedings of the International Conference on Parallel Processing, 1986
1985
PhD thesis, 1985
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1985
1984
IEEE Des. Test, 1984
Proceedings of the 11th Annual Symposium on Computer Architecture, 1984
1983
Generating Tests for Physical Failures in MOS Logic Circuits.
Proceedings of the Proceedings International Test Conference 1983, 1983