Preeti Ranjan Panda
Orcid: 0000-0002-2508-7531
According to our database1,
Preeti Ranjan Panda
authored at least 116 papers
between 1991 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
CAPE: Criticality-Aware Performance and Energy Optimization Policy for NCFET-Based Caches.
IEEE Trans. Computers, December, 2024
NeuroTAP: Thermal and Memory Access Pattern-Aware Data Mapping on 3D DRAM for Maximizing DNN Performance.
ACM Trans. Embed. Comput. Syst., November, 2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., August, 2024
NeuroCool: Dynamic Thermal Management of 3D DRAM for Deep Neural Networks through Customized Prefetching.
ACM Trans. Design Autom. Electr. Syst., January, 2024
ACM Trans. Embed. Comput. Syst., January, 2024
ACM Trans. Design Autom. Electr. Syst., 2024
Proceedings of the 32nd IFIP/IEEE International Conference on Very Large Scale Integration, 2024
2023
Dynamic Thermal Management of 3D Memory through Rotating Low Power States and Partial Channel Closure.
ACM Trans. Embed. Comput. Syst., November, 2023
ACM Trans. Embed. Comput. Syst., October, 2023
IEEE Trans. Very Large Scale Integr. Syst., September, 2023
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023
2022
IEEE Trans. Very Large Scale Integr. Syst., 2022
NeuroMap: Efficient Task Mapping of Deep Neural Networks for Dynamic Thermal Management in High-Bandwidth Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems.
ACM Trans. Archit. Code Optim., 2022
CoreMemDTM: Integrated Processor Core and 3D Memory Dynamic Thermal Management for Improved Performance.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
2021
ACM Trans. Design Autom. Electr. Syst., 2021
2020
ACM Trans. Embed. Comput. Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
2019
PredictNcool: Leakage Aware Thermal Management for 3D Memories Using a Lightweight Temperature Predictor.
ACM Trans. Embed. Comput. Syst., 2019
ACM Trans. Embed. Comput. Syst., 2019
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019
Proceedings of the 20th International Workshop on Microprocessor/SoC Test, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018
2017
Proceedings of the Handbook of Hardware/Software Codesign., 2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
Cooperative Multi-Agent Reinforcement Learning-Based Co-optimization of Cores, Caches, and On-chip Network.
ACM Trans. Archit. Code Optim., 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
A coordinated multi-agent reinforcement learning approach to multi-level cache co-partitioning.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
Partitioning and Data Mapping in Reconfigurable Cache and Scratchpad Memory-Based Architectures.
ACM Trans. Design Autom. Electr. Syst., 2016
Integrated Exploration Methodology for Data Interleaving and Data-to-Memory Mapping on SIMD Architectures.
ACM Trans. Embed. Comput. Syst., 2016
Data Flow Transformation for Energy-Efficient Implementation of Givens Rotation-Based QRD.
ACM Trans. Embed. Comput. Syst., 2016
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016
Machine Learned Machines: Adaptive co-optimization of caches, cores, and On-chip Network.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
2015
ACM Trans. Design Autom. Electr. Syst., 2015
Fundamental Results for a Generic Implementation of Barriers using Optical Interconnects.
CoRR, 2015
Proceedings of the 28th International Conference on VLSI Design, 2015
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015
2014
ACM Trans. Archit. Code Optim., 2014
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014
Energy efficient data flow transformation for Givens Rotation based QR Decomposition.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
2013
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013
Proceedings of the IEEE International Conference on Acoustics, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013
SPM-Sieve: A framework for assisting data partitioning in scratch pad memory based systems.
Proceedings of the International Conference on Compilers, 2013
2012
Des. Autom. Embed. Syst., 2012
Proceedings of the IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science, 2012
Proceedings of the 15th International Conference on Compilers, 2012
2011
Proceedings of the 2011 Forum on Specification & Design Languages, 2011
Proceedings of the Modelling Foundations and Applications - 7th European Conference, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
2010
Int. J. Parallel Program., 2010
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010
FastFwd: an efficient hardware acceleration technique for trace-driven network-on-chip simulation.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010
2009
<i>A Special Issue on the</i> "22nd IEEE International Conference on VLSI Design" New Delhi, India, 5-9 January 2009.
J. Low Power Electron., 2009
Adaptive Partitioning of Vertex Shader for Low Power High Performance Geometry Engine.
Proceedings of the Advances in Visual Computing, 5th International Symposium, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
A generic platform for estimation of multi-threaded program performance on heterogeneous multiprocessors.
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the 46th Design Automation Conference, 2009
2008
Int. J. Parallel Program., 2008
Texture filter memory: a power-efficient and scalable texture memory architecture for mobile graphics processors.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
2007
Int. J. Parallel Program., 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
An Efficient Pipelined VLSI Architecture for Lifting-Based 2D-Discrete Wavelet Transform.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2006
Proceedings of the 43rd Design Automation Conference, 2006
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006
2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
2002
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002
Proceedings of the High Performance Computing, 2002
An integrated algorithm for memory allocation and assignment in high-level synthesis.
Proceedings of the 39th Design Automation Conference, 2002
2001
ACM Trans. Design Autom. Electr. Syst., 2001
IEEE Des. Test Comput., 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
2000
On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems.
ACM Trans. Design Autom. Electr. Syst., 2000
1999
IEEE Trans. Very Large Scale Integr. Syst., 1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
IEEE Trans. Computers, 1999
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999
1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
Proceedings of the 1998 Design, 1998
1997
Memory data organization for improved cache performance in embedded processor applications.
ACM Trans. Design Autom. Electr. Syst., 1997
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997
Proceedings of the 10th International Symposium on System Synthesis, 1997
Proceedings of the Solving Irregularly Structured Problems in Parallel, 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Proceedings of the European Design and Test Conference, 1997
1996
Proceedings of the 9th International Symposium on System Synthesis, 1996
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996
Proceedings of the 1996 European Design and Test Conference, 1996
1995
Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), 1995
1993
IEEE Des. Test Comput., 1993
1991
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991