Pengpeng Ren

Orcid: 0009-0001-2986-9231

According to our database1, Pengpeng Ren authored at least 25 papers between 2015 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
High-Throughput Addressable Test Structure Design for Nano-Scaled CMOS Device Characterization.
IEEE Trans. Circuits Syst. II Express Briefs, September, 2024

Fast Aging-Aware Timing Analysis Framework With Temporal-Spatial Graph Neural Network.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., June, 2024

DRGA-Based Second-Order Block Arnoldi Method for Model Order Reduction of MIMO RCS Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., May, 2024

A strong physical unclonable function with machine learning immunity for Internet of Things application.
Sci. China Inf. Sci., 2024

Understanding the Physical Mechanism of RowPress at the Device-Level in Sub-20 nm DRAM.
Proceedings of the IEEE International Reliability Physics Symposium, 2024

Investigation of Positive Bias Temperature Instability in advanced FinFET nodes.
Proceedings of the IEEE International Reliability Physics Symposium, 2024

A New Method of Automatic Extraction of RTN and OMI-Friendly Implementation.
Proceedings of the IEEE International Reliability Physics Symposium, 2024

Convolution-Based Vth Shift Prediction and the New 9T2C Pixel Circuit in LTPS TFT AMOLED.
Proceedings of the IEEE International Reliability Physics Symposium, 2024

A Thermal Profile Prediction Methodology for Nanosheet Circuits Featuring Cross-Layer Thermal Coupling Effect.
Proceedings of the IEEE International Reliability Physics Symposium, 2024

Sub-20-nm DRAM Technology under Negative Bias Temperature Instability (NBTI): from Characterization to Physical Origin Identification.
Proceedings of the IEEE International Reliability Physics Symposium, 2024

Investigation of Interplays between Body Biasing and Hot Carrier Degradation (HCD) in Advanced NMOS FinFETs.
Proceedings of the IEEE International Reliability Physics Symposium, 2024

Physics-Informed Learning for EPG-Based TDDB Assessment.
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024

2023
Equiprobability-Based Local Response Surface Method for High-Sigma Yield Estimation With Both High Accuracy and Efficiency.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

Catching the Missing EM Consequence in Soft Breakdown Reliability in Advanced FinFETs: Impacts of Self-heating, On-State TDDB, and Layout Dependence.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

Double-sided Row Hammer Effect in Sub-20 nm DRAM: Physical Mechanism, Key Features and Mitigation.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Towards the understanding of ferroelectric-intrinsic variability and reliability issues on MCAM.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

2022
Characterization and Modelling of Hot Carrier Degradation in pFETs under Vd>Vg Condition for sub-20nm DRAM Technologies.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Towards the Characterization of Full ID-VG Degradation in Transistors for Future Analog Applications.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

New Insight into the Aging Induced Retention Time Degraded of Advanced DRAM Technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

2021
Investigation on the Implementation of Stateful Minority Logic for Future In-Memory Computing.
IEEE Access, 2021

2018
Investigation on NBTI-induced dynamic variability in nanoscale CMOS devices: Modeling, experimental evidence, and impact on circuits.
Microelectron. Reliab., 2018

New insights into the HCI degradation of pass-gate transistor in advanced FinFET technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2018

2017
Towards reliability-aware circuit design in nanoscale FinFET technology: - New-generation aging model and circuit reliability simulator.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Layout dependent BTI and HCI degradation in nano CMOS technology: A new time-dependent LDE and impacts on circuit at end of life.
Proceedings of the International Conference on IC Design and Technology, 2016

2015
Duty cycle shift under static/dynamic aging in 28nm HK-MG technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2015


  Loading...