Partha Pratim Pande
Orcid: 0000-0002-5930-8531Affiliations:
- Washington State University, Pullman, WA, USA
According to our database1,
Partha Pratim Pande
authored at least 236 papers
between 2003 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Block-Wise Mixed-Precision Quantization: Enabling High Efficiency for Practical ReRAM-Based DNN Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2024
A Dataflow-Aware Network-on-Interposer for CNN Inferencing in the Presence of Defective Chiplets.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2024
HuNT: Exploiting Heterogeneous PIM Devices to Design a 3-D Manycore Architecture for DNN Training.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2024
TEFLON: Thermally Efficient Dataflow-aware 3D NoC for Accelerating CNN Inferencing on Manycore PIM Architectures.
ACM Trans. Embed. Comput. Syst., September, 2024
Chip and Package-Scale Interconnects for General-Purpose, Domain-Specific, and Quantum Computing Systems - Overview, Challenges, and Opportunities.
IEEE J. Emerg. Sel. Topics Circuits Syst., September, 2024
Guest Editorial Chip and Package-Scale Communication-Aware Architectures for General-Purpose, Domain-Specific, and Quantum Computing Systems.
IEEE J. Emerg. Sel. Topics Circuits Syst., September, 2024
Mitigating Slow-to-Write Errors in Memristor-Mapped Graph Neural Networks Induced by Adversarial Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., August, 2024
Data Pruning-enabled High Performance and Reliable Graph Neural Network Training on ReRAM-based Processing-in-Memory Accelerators.
ACM Trans. Design Autom. Electr. Syst., 2024
IEEE Des. Test, 2024
CoRR, 2024
Scalable and Programmable Look-Up Table based Neural Acceleration (LUT-NA) for Extreme Energy Efficiency.
CoRR, 2024
Thermal Modeling and Management Challenges in Heterogenous Integration: 2.5D Chiplet Platforms and Beyond.
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
Proceedings of the IEEE International Test Conference, 2024
HeTraX: Energy Efficient 3D Heterogeneous Manycore Architecture for Transformer Acceleration.
Proceedings of the 29th ACM/IEEE International Symposium on Low Power Electronics and Design, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
2023
Florets for Chiplets: Data Flow-aware High-Performance and Energy-efficient Network-on-Interposer for CNN Inference Tasks.
ACM Trans. Embed. Comput. Syst., October, 2023
IEEE Des. Test, October, 2023
ACM Trans. Design Autom. Electr. Syst., September, 2023
ESSENCE: Exploiting Structured Stochastic Gradient Pruning for Endurance-Aware ReRAM-Based In-Memory Training Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023
Approximate Computing: Challenges, Methodologies, Algorithms, and Architectures for Dependable and Secure Systems.
IEEE Des. Test, June, 2023
IEEE Des. Test, April, 2023
ACM Trans. Design Autom. Electr. Syst., 2023
IEEE Trans. Emerg. Top. Comput., 2023
Accelerating Graph Neural Network Training on ReRAM-Based PIM Architectures via Graph and Model Pruning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023
CoRR, 2023
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023
Energy-Efficient Machine Learning Acceleration: From Technologies to Circuits and Systems.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023
Uncertainty-Aware Online Learning for Dynamic Power Management in Large Manycore Systems.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023
Proceedings of the IEEE European Test Symposium, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
GraphIte: Accelerating Iterative Graph Algorithms on ReRAM Architectures via Approximate Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
2022
Software/Hardware Co-design of 3D NoC-based GPU Architectures for Accelerated Graph Computations.
ACM Trans. Design Autom. Electr. Syst., 2022
An Inductor-First Single-Inductor Multiple-Output Hybrid DC-DC Converter With Integrated Flying Capacitor for SoC Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
High-Throughput Training of Deep CNNs on ReRAM-Based Heterogeneous Architectures via Optimized Normalization Layers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
High-Performance and Energy-Efficient 3D Manycore GPU Architecture for Accelerating Graph Analytics.
ACM J. Emerg. Technol. Comput. Syst., 2022
IEEE Des. Test, 2022
IEEE Des. Test, 2022
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022
EDAML 2022 Invited Speaker 6: Reliable Processing-in-Memory based Manycore Architectures for Deep Learning: From CNNs to GNNs.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
2021
Performance and Accuracy Tradeoffs for Training Graph Neural Networks on ReRAM-Based Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2021
HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D Vertical Integration.
ACM Trans. Design Autom. Electr. Syst., 2021
ACM Trans. Embed. Comput. Syst., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
ACM J. Emerg. Technol. Comput. Syst., 2021
Multi-Objective Optimization of ReRAM Crossbars for Robust DNN Inferencing under Stochastic Noise.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Heterogeneous Manycore Architectures Enabled by Processing-in-Memory for Deep Learning: From CNNs to GNNs: (ICCAD Special Session Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
DARe: DropLayer-Aware Manycore ReRAM architecture for Training Graph Neural Networks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
3D++: Unlocking the Next Generation of High-Performance and Energy-Efficient Architectures using M3D Integration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
ReGraphX: NoC-enabled 3D Heterogeneous ReRAM Architecture for Training Graph Neural Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Learning Pareto-Frontier Resource Management Policies for Heterogeneous SoCs: An Information-Theoretic Approach.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
2020
IEEE Trans. Very Large Scale Integr. Syst., 2020
An Energy-aware Online Learning Framework for Resource Management in Heterogeneous Platforms.
ACM Trans. Design Autom. Electr. Syst., 2020
Analysis and Design Method of Multiple-Output Switched-Capacitor Voltage Regulators With a Reduced Number of Power Electronic Components.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020
A Hybrid 3D Interconnect With 2x Bandwidth Density Employing Orthogonal Simultaneous Bidirectional Signaling for 3D NoC.
IEEE Trans. Circuits Syst., 2020
3D-ReG: A 3D ReRAM-based Heterogeneous Architecture for Training Deep Neural Networks.
ACM J. Emerg. Technol. Comput. Syst., 2020
ACM J. Emerg. Technol. Comput. Syst., 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
GRAMARCH: A GPU-ReRAM based Heterogeneous Architecture for Neural Image Segmentation.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
PETNet: Polycount and Energy Trade-off Deep Networks for Producing 3D Objects from Images.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
A Wide Output Voltage Range Single-Input-Multi-Output Hybrid DC-DC Converter Achieving 87.5% Peak Efficiency With a Fast Response Time and Low Cross Regulation for DVFS Applications.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020
2019
Dynamic Resource Management of Heterogeneous Mobile Platforms via Imitation Learning.
IEEE Trans. Very Large Scale Integr. Syst., 2019
A Dual-Output Step-Down Switched-Capacitor Voltage Regulator With a Flying Capacitor Crossing Technique for Enhanced Power Efficiency.
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
ACM Trans. Design Autom. Electr. Syst., 2019
MOOS: A Multi-Objective Design Space Exploration and Optimization Framework for NoC Enabled Manycore Systems.
ACM Trans. Embed. Comput. Syst., 2019
Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems.
IEEE Trans. Computers, 2019
Analyzing power-thermal-performance trade-offs in a high-performance 3D NoC architecture.
Integr., 2019
NoC-enabled software/hardware co-design framework for accelerating <i>k-mer</i> counting.
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, 2019
A Brief Survey of Algorithms, Architectures, and Challenges toward Extreme-scale Graph Analytics.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
REGENT: A Heterogeneous ReRAM/GPU-based Architecture Enabled by NoC for Training CNNs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Design and Optimization of Heterogeneous Manycore Systems Enabled by Emerging Interconnect Technologies: Promises and Challenges.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
A 16-Gb/s Low-Power Inductorless Wideband Gain-Boosted Baseband Amplifier With Skewed Differential Topology for Wireless Network-on-Chip.
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
Performance and Thermal Tradeoffs for Energy-Efficient Monolithic 3D Network-on-Chip.
ACM Trans. Design Autom. Electr. Syst., 2018
Trading-Off Accuracy and Energy of Deep Inference on Embedded Systems: A Co-Design Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems.
IEEE Trans. Computers, 2018
Design Space Exploration of 3D Network-on-Chip: A Sensitivity-based Optimization Approach.
ACM J. Emerg. Technol. Comput. Syst., 2018
A Spatial Multi-Bit Sub-1-V Time-Domain Matrix Multiplier Interface for Approximate Computing in 65-nm CMOS.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018
Computer, 2018
Special session on bringing cores closer together: The wireless revolution in on-chip communication.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
ACM Trans. Design Autom. Electr. Syst., 2017
Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3-D Small-World Network-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Computers, 2017
3D NoC-Enabled Heterogeneous Manycore Architectures for Accelerating CNN Training: Performance and Thermal Trade-offs.
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Accelerating Graph Community Detection with Approximate Updates via an Energy-Efficient NoC.
Proceedings of the 54th Annual Design Automation Conference, 2017
Data analytics enables energy-efficiency and robustness: from mobile to manycores, datacenters, and networks (special session paper).
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017
2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance Penalty.
IEEE Trans. Very Large Scale Integr. Syst., 2016
High-Performance and Energy-Efficient Network-on-Chip Architectures for Graph Analytics.
ACM Trans. Embed. Comput. Syst., 2016
On-Chip Communication Energy Reduction Through Reliability Aware Adaptive Voltage Swing Scaling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation and Design Trade-Offs.
IEEE Trans. Computers, 2016
Introduction to special issue on International Green Computing Conference (IGCC) 2014.
Sustain. Comput. Informatics Syst., 2016
A dynamic, compiler guided DVFS mechanism to achieve energy-efficiency in multi-core processors.
Sustain. Comput. Informatics Syst., 2016
Fast Uncovering of Graph Communities on a Chip: Toward Scalable Community Detection on Multicore and Manycore Platforms.
Found. Trends Electron. Des. Autom., 2016
Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3D Small-world Network-on-Chip.
CoRR, 2016
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016
Tutorial 3A: Bringing cores closer together: The wireless revolution in on-chip communication.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016
Optimization of dynamic power consumption in multi-tier gate-level monolithic 3D ICs.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016
Energy-efficient and reliable 3D network-on-chip (NoC): architectures and optimization algorithms.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Making the internet-of-things a reality: from smart models, sensing and actuation to energy-efficient architectures.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016
Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms.
Proceedings of the 2016 International Conference on Compilers, 2016
Power and thermal management in massive multicore chips: theoretical foundation meets architectural innovation and resource allocation.
Proceedings of the 2016 International Conference on Compilers, 2016
2015
IEEE Trans. Multi Scale Comput. Syst., 2015
IEEE Trans. Circuits Syst. I Regul. Pap., 2015
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015
The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
On-chip network-enabled many-core architectures for computational biology applications.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
High performance and energy efficient wireless NoC-enabled multicore architectures for graph analytics.
Proceedings of the 2015 International Conference on Compilers, 2015
2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
ACM J. Emerg. Technol. Comput. Syst., 2014
Performance Evaluation of Congestion-Aware Routing with DVFS on a Millimeter-Wave Small-World Wireless NoC.
ACM J. Emerg. Technol. Comput. Syst., 2014
ACM J. Emerg. Technol. Comput. Syst., 2014
IEEE Des. Test, 2014
Wireless NoC Platforms With Dynamic Task Allocation for Maximum Likelihood Phylogeny Reconstruction.
IEEE Des. Test, 2014
Hardware Accelerators in Computational Biology: Application, Potential, and Challenges.
IEEE Des. Test, 2014
T1B: Wireless NoC as interconnection backbone for multicore chips: Promises and challenges.
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014
Introduction to the special session on "Interconnect enhances architecture: Evolution of wireless NoC from planar to 3D".
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014
An energy-efficient millimeter-wave wireless NoC with congestion-aware routing and DVFS.
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
Performance evaluation of wireless NoCs in presence of irregular network routing strategies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 2014 International Conference on Compilers, 2014
2013
Sustainable and Reliable On-Chip Wireless Communication Infrastructure for Massive Multi-core Systems.
Proceedings of the Evolutionary Based Solutions for Green Computing, 2013
Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects.
IEEE Trans. Computers, 2013
Sustain. Comput. Informatics Syst., 2013
ACM J. Emerg. Technol. Comput. Syst., 2013
Adv. Comput., 2013
Millimeter (mm)-wave wireless NoC as interconnection backbone for multicore chips: promises and challenges.
Proceedings of the Network on Chip Architectures, 2013
Proceedings of the International Symposium on Quality Electronic Design, 2013
Network-on-Chip with Long-Range Wireless Links for High-Throughput Scientific Computation.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013
Evaluating effects of thermal management in wireless NoC-enabled multicore architectures.
Proceedings of the International Green Computing Conference, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 24th International Conference on Application-Specific Systems, 2013
2012
Proceedings of the Handbook of Energy-Aware and Green Computing - Two Volume Set., 2012
On-Chip Network-Enabled Multicore Platforms Targeting Maximum Likelihood Phylogeny Reconstruction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
ACM J. Emerg. Technol. Comput. Syst., 2012
Performance evaluation and design trade-offs for wireless network-on-chip architectures.
ACM J. Emerg. Technol. Comput. Syst., 2012
Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012
Proceedings of the IEEE 25th International SOC Conference, 2012
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012
CMOS compatible many-core noc architectures with multi-channel millimeter-wave wireless links.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012
2011
Proceedings of the 3D Integration for NoC-based SoC Architectures, 2011
IEEE Trans. Computers, 2011
Proceedings of the 23rd International Symposium on Computer Architecture and High Performance Computing, 2011
Proceedings of the NOCS 2011, 2011
A Unified Error Control Coding Scheme to Enhance the Reliability of a Hybrid Wireless Network-on-Chip.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011
Sustainability through massively integrated computing: Are we ready to break the energy efficiency wall for single-chip platforms?
Proceedings of the Design, Automation and Test in Europe, 2011
2010
IEEE Trans. Computers, 2010
Guest Editors' Introduction: Promises and Challenges of Novel Interconnect Technologies.
IEEE Des. Test Comput., 2010
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010
An optimized NoC architecture for accelerating TSP kernels in breakpoint median problem.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010
Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010
2009
Crosstalk-Aware Channel Coding Schemes for Energy Efficient and Reliable NOC Interconnects.
IEEE Trans. Very Large Scale Integr. Syst., 2009
IEEE Trans. Computers, 2009
Proceedings of the Third International Symposium on Networks-on-Chips, 2009
Proceedings of the Second International Workshop on Network on Chip Architectures, 2009
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009
2008
J. Syst. Archit., 2008
Design of Low Power & Reliable Networks on Chip Through Joint Crosstalk Avoidance and Multiple Error Correction Coding.
J. Electron. Test., 2008
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008
2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Proceedings of the 2007 IEEE International SOC Conference, 2007
Proceedings of the First International Symposium on Networks-on-Chips, 2007
Addressing Signal Integrity in Networks on Chip Interconnects through Crosstalk-Aware Double Error Correction Coding.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Applicability of Energy Efficient Coding Methodology to Address Signal Integrity in 3D NoC Fabrics.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007
Performance Evaluation of Adaptive Routing Algorithms for achieving Fault Tolerance in NoC Fabrics.
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007
2006
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006
Proceedings of the 2006 IEEE International SOC Conference, Austin, Texas, USA, 2006
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006
Design of Low power & Reliable Networks on Chip through joint crosstalk avoidance and forward error correction coding.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006
2005
Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures.
IEEE Trans. Computers, 2005
Microelectron. J., 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005
2004
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004
Structured interconnect architecture: a solution for the non-scalability of bus-based SoCs.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004
2003
Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June, 2003
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003