Ozgur Sinanoglu
Orcid: 0000-0003-0782-0397
According to our database1,
Ozgur Sinanoglu
authored at least 267 papers
between 2001 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2024
Graph Attention Networks to Identify the Impact of Transistor Degradation on Circuit Reliability.
IEEE Trans. Circuits Syst. I Regul. Pap., July, 2024
ACM Trans. Archit. Code Optim., June, 2024
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024
NiLoPher: Breaking a Modern SAT-Hardened Logic-Locking Scheme via Power Analysis Attack.
IACR Cryptol. ePrint Arch., 2024
Trojan Insertion versus Layout Defenses for Modern ICs: Red-versus-Blue Teaming in a Competitive Community Effort.
IACR Cryptol. ePrint Arch., 2024
ASCENT: Amplifying Power Side-Channel Resilience via Learning & Monte-Carlo Tree Search.
CoRR, 2024
TroLLoc: Logic Locking and Layout Hardening for IC Security Closure against Hardware Trojans.
CoRR, 2024
IEEE Access, 2024
Proceedings of the 32nd IFIP/IEEE International Conference on Very Large Scale Integration, 2024
INSIGHT: Attacking Industry-Adopted Learning Resilient Logic Locking Techniques Using Explainable Graph Neural Network.
Proceedings of the 33rd USENIX Security Symposium, 2024
Proceedings of the 22nd IEEE Interregional NEWCAS Conference, 2024
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2024
Code-Based Cryptography for Confidential Inference on FPGAs: An End-to-End Methodology.
Proceedings of the 25th International Symposium on Quality Electronic Design, 2024
Always be Pre-Training: Representation Learning for Network Intrusion Detection with GNNs.
Proceedings of the 25th International Symposium on Quality Electronic Design, 2024
Proceedings of the IEEE International Symposium on Circuits and Systems, 2024
Proceedings of the 30th IEEE International Symposium on On-Line Testing and Robust System Design, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
2023
VIGILANT: Vulnerability Detection Tool Against Fault-Injection Attacks for Locking Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023
$\tt{PoisonedGNN}$: Backdoor Attack on Graph Neural Networks-Based Hardware Security Systems.
IEEE Trans. Computers, October, 2023
IEEE Trans. Neural Networks Learn. Syst., September, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023
Titan: Security Analysis of Large-Scale Hardware Obfuscation Using Graph Neural Networks.
IEEE Trans. Inf. Forensics Secur., 2023
IEEE Trans. Emerg. Top. Comput., 2023
PoisonedGNN: Backdoor Attack on Graph Neural Networks-based Hardware Security Systems.
CoRR, 2023
DNN-Alias: Deep Neural Network Protection Against Side-Channel Attacks via Layer Balancing.
CoRR, 2023
Proceedings of the 41st IEEE VLSI Test Symposium, 2023
UN-SPLIT: Attacking Split Manufacturing Using Link Prediction in Graph Neural Networks.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2023
Proceedings of the 2023 International Symposium on Physical Design, 2023
X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks.
Proceedings of the 2023 International Symposium on Physical Design, 2023
Proceedings of the 2023 International Symposium on Physical Design, 2023
FPGA-Patch: Mitigating Remote Side-Channel Attacks on FPGAs using Dynamic Patch Generation.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023
TrojanSAINT: Gate-Level Netlist Sampling-Based Inductive Learning for Hardware Trojan Detection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023
ShapeShifter: Protecting FPGAs from Side-Channel Attacks with Isofunctional Heterogeneous Modules.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023
Proceedings of the 35th IEEE Hot Chips Symposium, 2023
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023
ALMOST: Adversarial Learning to Mitigate Oracle-less ML Attacks via Synthesis Tuning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Graph Neural Networks: A Powerful and Versatile Tool for Advancing Design, Reliability, and Security of ICs.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
2022
Hide and Seek: Seeking the (Un)-Hidden Key in Provably-Secure Logic Locking Techniques.
IEEE Trans. Inf. Forensics Secur., 2022
Valkyrie: Vulnerability Assessment Tool and Attack for Provably-Secure Logic Locking Techniques.
IEEE Trans. Inf. Forensics Secur., 2022
Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices.
IEEE Trans. Emerg. Top. Comput., 2022
Security Promises and Vulnerabilities in Emerging Reconfigurable Nanotechnology-Based Circuits.
IEEE Trans. Emerg. Top. Comput., 2022
IEEE Trans. Emerg. Top. Comput., 2022
IEEE Trans. Emerg. Top. Comput., 2022
GNNUnlock+: A Systematic Methodology for Designing Graph Neural Networks-Based Oracle-Less Unlocking Schemes for Provably Secure Logic Locking.
IEEE Trans. Emerg. Top. Comput., 2022
IEEE Trans. Circuits Syst. II Express Briefs, 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Design-time exploration of voltage switching against power analysis attacks in 14 nm FinFET technology.
Integr., 2022
IsoLock: Thwarting Link-Prediction Attacks on Routing Obfuscation by Graph Isomorphism.
IACR Cryptol. ePrint Arch., 2022
IEEE Embed. Syst. Lett., 2022
Cryptogr., 2022
Hide & Seek: Seeking the (Un)-Hidden key in Provably-Secure Logic Locking Techniques.
CoRR, 2022
IEEE Comput. Archit. Lett., 2022
SCRAMBLE: A Secure and Configurable, Memristor-Based Neuromorphic Hardware Leveraging 3D Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022
Proceedings of the International Joint Conference on Neural Networks, 2022
AppGNN: Approximation-Aware Functional Reverse Engineering Using Graph Neural Networks.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
MuxLink: Circumventing Learning-Resilient MUX-Locking Using Graph Neural Network-based Link Prediction.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
2021
IEEE Trans. Inf. Forensics Secur., 2021
IEEE Trans. Emerg. Top. Comput., 2021
A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects.
IEEE Trans. Emerg. Top. Comput., 2021
IEEE Trans. Emerg. Top. Comput., 2021
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2021
Thwarting All Logic Locking Attacks: Dishonest Oracle With Truly Random Logic Locking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Toward Security Closure in the Face of Reliability Effects ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
UNTANGLE: Unlocking Routing and Logic Obfuscation Using Graph Neural Networks-based Link Prediction.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
GNNUnlock: Graph Neural Networks-based Oracle-less Unlocking Scheme for Provably Secure Logic Locking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Fortifying RTL Locking Against Oracle-Less (Untrusted Foundry) and Oracle-Guided Attacks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
2020
IEEE Trans. Emerg. Top. Comput., 2020
Guest Editors' Introduction: Special Issue on Emerging Technologies in Computer Design.
IEEE Trans. Emerg. Top. Comput., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Spin-Orbit Torque Devices for Hardware Security: From Deterministic to Probabilistic Regime.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Computers, 2020
CoRR, 2020
SMART: A Secure Magnetoelectric AntifeRromagnet-Based Tamper-Proof Non-Volatile Memory.
IEEE Access, 2020
Proceedings of the IEEE European Test Symposium, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Springer, ISBN: 978-3-030-15333-5, 2020
2019
Stripped Functionality Logic Locking With Hamming Distance-Based Restore Unit (SFLL-hd) - Unlocked.
IEEE Trans. Inf. Forensics Secur., 2019
Guest Editors Introduction: Special Section on Emerging Technologies in Computer Design.
IEEE Trans. Emerg. Top. Comput., 2019
IACR Cryptol. ePrint Arch., 2019
IEEE Des. Test, 2019
Toward Physically Unclonable Functions from Plasmonics-Enhanced Silicon Disc Resonators.
CoRR, 2019
An Interposer-Based Root of Trust: Seize the Opportunity for Secure System-Level Integration of Untrusted Chiplets.
CoRR, 2019
Proceedings of the 16th International Conference on Synthesis, 2019
Logic Locking of Boolean Circuits: Provable Hardware-Based Obfuscation from a Tamper-Proof Memory.
Proceedings of the Innovative Security Solutions for Information Technology and Communications, 2019
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019
Is Robust Design-for-Security Robust Enough? Attack on Locked Circuits with Restricted Scan Chain Access.
Proceedings of the International Conference on Computer-Aided Design, 2019
Proceedings of the 24th IEEE European Test Symposium, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the International Conference on Omni-Layer Intelligent Systems, 2019
2018
Proceedings of the 36th IEEE VLSI Test Symposium, 2018
Towards Provably Secure Logic Locking for Hardening Hardware Security Dissertation Summary: IEEE TTTC E.J. McCluskey Doctoral Thesis Award Competition.
Proceedings of the IEEE International Test Conference, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Best of both worlds: integration of split manufacturing and camouflaging into a security-driven CAD flow for 3D ICs.
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Advancing hardware security using polymorphic and stochastic spin-hall effect devices.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Raise your game for split manufacturing: restoring the true functionality through BEOL.
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
2017
IEEE Trans. Inf. Forensics Secur., 2017
IEEE Trans. Emerg. Top. Comput., 2017
Guest Editors Introduction: Security of Beyond CMOS Devices: Issues and Opportunities.
IEEE Trans. Emerg. Top. Comput., 2017
IEEE Trans. Circuits Syst. I Regul. Pap., 2017
Adaptive Reduction of the Frequency Search Space for Multi-V<sub>dd</sub> Digital Circuits Using Variation Sensitive Ring Oscillators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
Large-Scale 3D Chips: Challenges and Solutions for Design Automation, Testing, and Trustworthy Integration.
IPSJ Trans. Syst. LSI Des. Methodol., 2017
A Comprehensive Design-for-Test Infrastructure in the Context of Security-Critical Applications.
IEEE Des. Test, 2017
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017
Rethinking split manufacturing: An information-theoretic approach with secure layout techniques.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017
On Mitigation of Side-Channel Attacks in 3D ICs: Decorrelating Thermal Patterns from Power and Activity.
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security, 2017
2016
Building Trustworthy Systems Using Untrusted Components: A High-Level Synthesis Approach.
IEEE Trans. Very Large Scale Integr. Syst., 2016
ACM Trans. Design Autom. Electr. Syst., 2016
ACM Trans. Design Autom. Electr. Syst., 2016
IEEE ACM Trans. Comput. Biol. Bioinform., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
IEEE Micro, 2016
ACM J. Emerg. Technol. Comput. Syst., 2016
Proceedings of the 34th IEEE VLSI Test Symposium, 2016
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016
Proceedings of the 2016 International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016
2015
ACM Trans. Design Autom. Electr. Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Novel Test-Mode-Only Scan Attack and Countermeasure for Compression-Based Scan Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015
Proceedings of the 10th International Design & Test Symposium, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Security analysis of logic encryption against the most effective side-channel attack: DPA.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015
2014
Design for Testability Support for Launch and Capture Power Reduction in Launch-Off-Shift and Launch-Off-Capture Testing.
IEEE Trans. Very Large Scale Integr. Syst., 2014
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014
Proceedings of the 2014 International Test Conference, 2014
Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust, 2014
Proceedings of the 19th IEEE European Test Symposium, 2014
Proceedings of the 9th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2014
Approximating the age of RF/analog circuits through re-characterization and statistical estimation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Reconfigurable Concurrent Error Detection Adaptive to Dynamicity of Power Constraints.
J. Electron. Test., 2013
IEEE Des. Test, 2013
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013
New Scan-Based Attack Using Only the Test Mode and an Input Corruption Countermeasure.
Proceedings of the VLSI-SoC: At the Crossroads of Emerging Trends, 2013
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013
Proceedings of the 14th Latin American Test Workshop, 2013
Proceedings of the 2013 IEEE International Test Conference, 2013
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the 18th IEEE European Test Symposium, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 2013 ACM SIGSAC Conference on Computer and Communications Security, 2013
2012
IET Comput. Digit. Tech., 2012
Fault Model Independent, Maximal Compaction of Test Responses in the Presence of Unknown Response Bits.
Comput. J., 2012
An Optimal Inherently Stabilizing 2-Neighborhood Crash Resilient Protocol for Secure and Reliable Routing in Hypercube Networks.
Comput. J., 2012
Proceedings of the 25th International Conference on VLSI Design, 2012
Proceedings of the 13th Latin American Test Workshop, 2012
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 17th IEEE European Test Symposium, 2012
Proceedings of the 17th IEEE European Test Symposium, 2012
Proceedings of the 17th IEEE European Test Symposium, 2012
Proceedings of the 17th IEEE European Test Symposium, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
J. Low Power Electron., 2011
Novel hazard-free majority voter for n-modular redundancy-based fault tolerance in asynchronous circuits.
IET Comput. Digit. Tech., 2011
Sci. China Inf. Sci., 2011
Proceedings of the 29th IEEE VLSI Test Symposium, 2011
Proceedings of the 29th IEEE VLSI Test Symposium, 2011
Proceedings of the 29th IEEE VLSI Test Symposium, 2011
Proceedings of the 12th Latin American Test Workshop, 2011
Proceedings of the 16th European Test Symposium, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
2010
An Inherently Stabilizing Algorithm for Node-To-Node Routing over All Shortest Node-Disjoint Paths in Hypercube Networks.
IEEE Trans. Computers, 2010
J. Electron. Test., 2010
Proceedings of the 2011 IEEE International Test Conference, 2010
Identification of IR-drop hot-spots in defective power distribution network using TDF ATPG.
Proceedings of the 5th International Design and Test Workshop, 2010
Proceedings of the 5th International Design and Test Workshop, 2010
Proceedings of the 15th European Test Symposium, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
Align-Encode Delay Assignment in the Case of XOR-Decompressors: Impact of Parallel Computations.
J. Interconnect. Networks, 2009
J. Comput. Sci. Technol., 2009
IET Comput. Digit. Tech., 2009
IEEE Des. Test Comput., 2009
Proceedings of the 2009 IEEE International Test Conference, 2009
Improving the Effectiveness of XOR-based Decompressors through Horizontal/Vertical Move of Stimulus Fragments.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009
2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IET Comput. Digit. Tech., 2008
Improving the Effectiveness of Combinational Decompressors Through Judicious Partitioning of Scan Cells.
J. Electron. Test., 2008
Scan-in and Scan-out Transition Co-optimization Through Modelling Generalized Serial Transformations.
J. Electron. Test., 2008
Proceedings of the 2008 IEEE International Test Conference, 2008
Proceedings of the 2008 IEEE International Test Conference, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2005
Test power reductions through computationally efficient, decoupled scan chain modifications.
IEEE Trans. Reliab., 2005
2004
Fast and energy-frugal deterministic test through efficient compression and compaction techniques.
J. Syst. Archit., 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004
Proceedings of the 9th European Test Symposium, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004
2003
J. Electron. Test., 2003
IEEE Des. Test Comput., 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
Proceedings of the 8th European Test Workshop, 2003
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
2002
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002
Proceedings of the 7th European Test Workshop, 2002
Fast and Energy-Frugal Deterministic Test Through Test Vector Correlation Exploitation.
Proceedings of the 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 2002
2001
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001