N. Ranganathan
Affiliations:- University of South Florida, Tampa, FL, USA
According to our database1,
N. Ranganathan
authored at least 240 papers
between 1988 and 2018.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2002, "For contributions to algorithms and architectures for VLSI systems.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on cse.usf.edu
-
on dl.acm.org
On csauthors.net:
Bibliography
2018
IEEE Trans. Dependable Secur. Comput., 2018
2017
LSTM-Based Memory Profiling for Predicting Data Attacks in Distributed Big Data Systems.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017
2016
IEEE Trans. Multi Scale Comput. Syst., 2016
Call Trace and Memory Access Pattern based Runtime Insider Threat Detection for Big Data Platforms.
CoRR, 2016
CoRR, 2016
Proceedings of the 2016 IEEE International Conference on Big Data (IEEE BigData 2016), 2016
2015
Design of Adiabatic Dynamic Differential Logic for DPA-Resistant Secure Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2015
Reversible logic based multiplication computing unit using binary tree data structure.
J. Supercomput., 2015
An energy-aware scheduling heuristic for distributed systems using non-cooperative games.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015
GTFUZZ: a novel algorithm for robust dynamic power optimization via gate sizing with fuzzy games.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 IEEE International Conference on Big Data (IEEE BigData 2015), Santa Clara, CA, USA, October 29, 2015
2014
Proceedings of the Field-Coupled Nanocomputing - Paradigms, Progress, and Perspectives, 2014
Trans. Comput. Sci., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Microelectron. J., 2014
Forward Body Biased Adiabatic Logic for Peak and Average Power Reduction in 22nm CMOS.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014
Circuit for Reversible Quantum Multiplier Based on Binary Tree Optimizing Ancilla and Garbage Bits.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014
2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
A Clock Control Strategy for Peak Power and RMS Current Reduction Using Path Clustering.
IEEE Trans. Very Large Scale Integr. Syst., 2013
ACM J. Emerg. Technol. Comput. Syst., 2013
Guest editorial - Design methodologies for nanoelectronic digital and analogue circuits.
IET Circuits Devices Syst., 2013
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013
2012
ACM J. Emerg. Technol. Comput. Syst., 2012
Tutorial T2: Reversible Logic: Fundamentals and Applications in Ultra-Low Power, Fault Testing and Emerging Nanotechnologies, and Challenges in Future.
Proceedings of the 25th International Conference on VLSI Design, 2012
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012
Analysis of Reversible Logic Based Sequential Computing Structures Using Quantum Mechanics Principles.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012
Design of a Tree-Based Comparator and Memory Unit Based on a Novel Reversible Logic Structure.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
2011
A Utilitarian Approach to Variation Aware Delay, Power, and Crosstalk Noise Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2011
Placement for Immunity of Transient Faults in Cell-Based Design of Nanometer Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2011
State-Retentive Power Gating of Register Files in Multicore Processors Featuring Multithreaded In-Order Cores.
IEEE Trans. Computers, 2011
IEEE Trans. Computers, 2011
Reversible Logic Based Concurrent Error Detection Methodology For Emerging Nanocircuits
CoRR, 2011
Design of a Reversible ALU Based on Novel Programmable Reversible Logic Gate Structures.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 11th IEEE International Conference on Computer and Information Technology, 2011
2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
A Game Theoretic Approach for Simultaneous Compaction and Equipartitioning of Spatial Data Sets.
IEEE Trans. Knowl. Data Eng., 2010
Design of reversible sequential circuits optimizing quantum cost, delay, and garbage outputs.
ACM J. Emerg. Technol. Comput. Syst., 2010
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
A Framework for Correction of Multi-Bit Soft Errors in L2 Caches Based on Redundancy.
IEEE Trans. Very Large Scale Integr. Syst., 2009
ACM Trans. Design Autom. Electr. Syst., 2009
Conservative QCA Gate (CQCA) for Designing Concurrently Testable Molecular QCA Circuits.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009
A New Placement Algorithm for Reduction of Soft Errors in Macrocell Based Design of Nanometer Circuits.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009
A unified gate sizing formulation for optimizing soft error rate, cross-talk noise and power under process variations.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009
Concurrently Testable FPGA Design for Molecular QCA using Conservative Reversible Logic Gate.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009
Proceedings of the 27th International Conference on Computer Design, 2009
2008
A Fuzzy Optimization Approach for Variation Aware Power Minimization During Gate Sizing.
IEEE Trans. Very Large Scale Integr. Syst., 2008
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008
An expected-utility based approach to variation aware VLSI optimization under scarce information.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008
Reliability-centric gate sizing with simultaneous optimization of soft error rate, delay and power.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008
Proceedings of the 19th International Conference on Pattern Recognition (ICPR 2008), 2008
Simultaneous optimization of total power, crosstalk noise, and delay under uncertainty.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008
2007
IEEE Trans. Computers, 2007
Int. J. Netw. Secur., 2007
IET Comput. Digit. Tech., 2007
A Compiler Based Leakage Reduction Technique by Power-Gating Functional Units in Embedded Microprocessors.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 2007 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 29, 2007
Proceedings of the 25th International Conference on Computer Design, 2007
2006
ILP models for simultaneous energy and transient power minimization during behavioral synthesis.
ACM Trans. Design Autom. Electr. Syst., 2006
A game-theoretic framework for multimetric optimization of interconnect delay, power, and crosstalk noise during wire sizing.
ACM Trans. Design Autom. Electr. Syst., 2006
A stimulus-free graphical probabilistic switching model for sequential circuits using dynamic bayesian networks.
ACM Trans. Design Autom. Electr. Syst., 2006
IEEE Trans. Circuits Syst. II Express Briefs, 2006
Improving Accuracy in Mitchell's Logarithmic Multiplication Using Operand Decomposition.
IEEE Trans. Computers, 2006
Simultaneous Interconnect Delay and Crosstalk Noise Optimization through Gate Sizing Using Game Theory.
IEEE Trans. Computers, 2006
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Leakage Power Reduction in Dual-Vdd and Dual-Vth Designs through Probabilistic Analysis of Vth Variation.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
A Linear Time Algorithm for Wire Sizing with Simultaneous Optimization of Interconnect Delay and Crosstalk Noise.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
CHESS: A Comprehensive Tool for CDFG Extraction and Synthesis of Low Power Designs from VHDL.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006
Proceedings of the Disaster Preparedness and Recovery: IEEE International Symposium on Technology and Society, 2006
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006
2005
A VLSI architecture for visible watermarking in a secure still digital camera (S<sup>2</sup>/DC) design (Corrected)*.
IEEE Trans. Very Large Scale Integr. Syst., 2005
A VLSI architecture for watermarking in a secure still digital camera (S<sup>2</sup>DC) design.
IEEE Trans. Very Large Scale Integr. Syst., 2005
ACM Trans. Design Autom. Electr. Syst., 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Estimation of Switching Activity in Sequential Circuits Using Dynamic Bayesian Networks.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
A Nonlinear Programming Based Power Optimization Methodology for Gate Sizing and Voltage Selection.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005
2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
Cascaded Bayesian inferencing for switching activity estimation with correlated inputs.
IEEE Trans. Very Large Scale Integr. Syst., 2004
Pattern Recognit. Lett., 2004
Game Theoretic Modeling of Voltage and Frequency Scaling during Behavioral Synthesis.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
VLSI Implementation of Visible Watermarking for a Secure Digital Still Camera Design.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
A New Technique for Leakage Reduction in CMOS Circuits using Self-Controlled Stacked Transistors.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004
2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003
An ILP-based scheduling scheme for energy efficient high performance datapath synthesis.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003
Transient power minimization through datapath scheduling in multiple supply voltage environment.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003
A Microeconomic Model for Simultaneous Gate Sizing and Voltage Scaling for Power Optimization.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003
Power Fluctuation Minimization During Behavioral Synthesis using ILP-Based Datapath Scheduling.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003
Simultaneous peak and average power minimization during datapath scheduling for DSP processors.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003
2002
IEEE Trans. Very Large Scale Integr. Syst., 2002
Comput. Electr. Eng., 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002
Power estimation of sequential circuits using hierarchical colored hardware petri net modeling.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002
Modeling Switching Activity Using Cascaded Bayesian Networks for Correlated Input Streams.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002
Proceedings of the 13th IEEE International Conference on Application-Specific Systems, 2002
2001
IEEE Trans. Veh. Technol., 2001
A partitioning algorithm for technoiogy-mapped designs on single-chip emulation systems.
IEEE Trans. Very Large Scale Integr. Syst., 2001
An intelligent system for failure detection and control in an autonomous underwater vehicle.
IEEE Trans. Syst. Man Cybern. Part A, 2001
IEEE Trans. Circuits Syst. Video Technol., 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Dependency Preserving Probabilistic Modeling of Switching Activity using Bayesian Networks.
Proceedings of the 38th Design Automation Conference, 2001
2000
Microprocess. Microsystems, 2000
Comput. Commun., 2000
CREAM: Combined Register and Module Assignment with Floorplanning for Low Power Datapath Synthesis.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000
New Cost Metrics for Iterative Task Assignment Algorithms in Heterogeneous Computing Systems.
Proceedings of the 9th Heterogeneous Computing Workshop, 2000
1999
IEEE Trans. Very Large Scale Integr. Syst., 1999
Performance analysis of wavelets in embedded zerotree-based lossless image coding schemes.
IEEE Trans. Signal Process., 1999
IEEE Signal Process. Lett., 1999
Energy Efficient Datapath Synthesis Using Dynamic Frequency Clocking and Multiple Voltages.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999
A Learning Automata Based Framework for Task Assignment in Heterogeneous Computing Systems.
Proceedings of the 1999 ACM Symposium on Applied Computing, 1999
Context based lossless intraframe coding of video sequence using embedded zerotree wavelets.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999
Proceedings of the 1999 IEEE International Conference on Acoustics, 1999
Multiple Cost Optimization for Task Assignment in Heterogeneous Computing Systems Using Learning Automata.
Proceedings of the 8th Heterogeneous Computing Workshop, 1999
Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 1999
Proceedings of the 5th USENIX Conference on Object-Oriented Technologies & Systems, 1999
1998
A linear array processor with dynamic frequency clocking for image processing applications.
IEEE Trans. Circuits Syst. Video Technol., 1998
Adaptive quantization and fast error-resilient entropy coding for image transmission.
IEEE Trans. Circuits Syst. Video Technol., 1998
IEEE Signal Process. Lett., 1998
Comput. Commun. Rev., 1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the IEEE International Conference on Systems, Man and Cybernetics, 1998
An adaptive scheme for better utilization with QoS constraints for VBR video traffic in ATM networks.
Proceedings of the Third IEEE Symposium on Computers and Communications (ISCC 1998), June 30, 1998
Joint Optimization of Quantization and On-Line Channel Estimation for Low Bit-Rate Video Transmission.
Proceedings of the 1998 IEEE International Conference on Image Processing, 1998
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998
Proceedings of the 1998 IEEE International Conference on Communications, 1998
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998
Proceedings of the ECOOP'98, 1998
Proceedings of the Data Compression Conference, 1998
1997
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997
Effect of Message Length and Processor Speed on the Performance of the Bidirectional Ring-Based Multiprocessor.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the Eighth IEEE Symposium on Parallel and Distributed Processing, 1996
Proceedings of the Eighth IEEE Symposium on Parallel and Distributed Processing, 1996
Proceedings of the 13th International Conference on Pattern Recognition, 1996
Proceedings of the 13th International Conference on Pattern Recognition, 1996
SVBS: a high-resolution medical image compression algorithm using slicing with variable block size segmentation.
Proceedings of the 13th International Conference on Pattern Recognition, 1996
Proceedings of the 13th International Conference on Pattern Recognition, 1996
Proceedings of the 13th International Conference on Pattern Recognition, 1996
Proceedings of International Conference on Neural Networks (ICNN'96), 1996
Proceedings of the Proceedings 1996 International Conference on Image Processing, 1996
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996
Proceedings of the 3rd International Conference on High Performance Computing, 1996
Proceedings of the 1996 International Conference on Application-Specific Systems, 1996
1995
IEEE Trans. Syst. Man Cybern., 1995
IEEE Trans. Image Process., 1995
Proc. IEEE, 1995
IEEE Trans. Pattern Anal. Mach. Intell., 1995
IEEE Trans. Pattern Anal. Mach. Intell., 1995
Conference Reports.
IEEE Des. Test Comput., 1995
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995
Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture (HPCA 1995), 1995
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995
Proceedings of the 1995 European Design and Test Conference, 1995
1994
Pattern Recognit., 1994
Int. J. Pattern Recognit. Artif. Intell., 1994
Int. J. Pattern Recognit. Artif. Intell., 1994
Proceedings of the Seventh International Conference on VLSI Design, 1994
Proceedings of the 8th International Symposium on Parallel Processing, 1994
An efficient VLSI architecture for template matching based on moment preserving pattern matching.
Proceedings of the 12th IAPR International Conference on Pattern Recognition, 1994
Proceedings of the 1994 International Conference on Parallel Processing, 1994
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994
1993
IEEE Trans. Very Large Scale Integr. Syst., 1993
IEEE Trans. Very Large Scale Integr. Syst., 1993
SIGMA: a VLSI systolic array implementation of a Galois field GF(2 <sup>m</sup>) based multiplication and division algorithm.
IEEE Trans. Very Large Scale Integr. Syst., 1993
Proceedings of the Sixth International Conference on VLSI Design, 1993
SIGMA: A VLSI Chip for Galois Field GF(2<sup>m</sup>) Based Multiplication and Division.
Proceedings of the Sixth International Conference on VLSI Design, 1993
Proceedings of the Seventh International Parallel Processing Symposium, 1993
Proceedings of the 1993 IEEE International Conference on Robotics and Automation, 1993
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993
1992
Microprocess. Microsystems, 1992
Proceedings of the Fifth International Conference on VLSI Design, 1992
Proceedings of the Fifth International Conference on VLSI Design, 1992
trulla : An Algorithm For Path Planning Among Weighted Regions By Localized Propagations.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 1992
Proceedings of the 6th International Parallel Processing Symposium, 1992
Proceedings of the 11th IAPR International Conference on Pattern Recognition, 1992
Proceedings of the 11th IAPR International Conference on Pattern Recognition, 1992
Proceedings of the 11th IAPR International Conference on Pattern Recognition, 1992
Proceedings of the 11th IAPR International Conference on Pattern Recognition, 1992
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992
1991
Proceedings of the 1991 International Conference on Acoustics, 1991
1990
Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, 1990
Effect of Data Compression Hardware on the Performance of a Relational Database Machine.
Proceedings of the First International Conference on Databases, 1990
Proceedings of the 10th IAPR International Conference on Pattern Recognition, 1990
Proceedings of the 10th IAPR International Conference on Pattern Recognition, 1990
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990
1989
Proceedings of the IEEE International Workshop on Tools for Artificial Intelligence: Architectures, 1989
Proceedings of the Fifth International Conference on Data Engineering, 1989
Proceedings of the Computer Design: VLSI in Computers and Processors, 1989
1988
Comput. Vis. Graph. Image Process., 1988
Proceedings of the Statistical and Scientific Database Management, 1988
Proceedings of the Proceedings Supercomputing '88, Orlando, FL, USA, November 12-17, 1988, 1988