Mohamed I. Elmasry
According to our database1,
Mohamed I. Elmasry
authored at least 150 papers
between 1975 and 2021.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2021
Proceedings of the 12th International Conference on Information, 2021
2017
Proceedings of the 47th IEEE International Symposium on Multiple-Valued Logic, 2017
2016
Proceedings of the 28th International Conference on Microelectronics, 2016
2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
Negative capacitance circuits for process variations compensation and timing yield improvement.
Proceedings of the 20th IEEE International Conference on Electronics, 2013
2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
2011
A Bias-Dependent Model for the Impact of Process Variations on the SRAM Soft Error Immunity.
IEEE Trans. Very Large Scale Integr. Syst., 2011
A Novel Low Area Overhead Direct Adaptive Body Bias (D-ABB) Circuit for Die-to-Die and Within-Die Variations Compensation.
IEEE Trans. Very Large Scale Integr. Syst., 2011
Analytical Soft Error Models Accounting for Die-to-Die and Within-Die Variations in Sub-Threshold SRAM Cells.
IEEE Trans. Very Large Scale Integr. Syst., 2011
Adaptive Body Bias for Reducing the Impacts of NBTI and Process Variations on 6T SRAM Cells.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011
Novel Timing Yield Improvement Circuits for High-Performance Low-Power Wide Fan-In Dynamic OR Gates.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011
2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
IEEE Trans. Circuits Syst. I Regul. Pap., 2010
A Design-Oriented Soft Error Rate Variation Model Accounting for Both Die-to-Die and Within-Die Variations in Submicrometer CMOS SRAM Cells.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010
Appl. Soft Comput., 2010
Statistical timing yield improvement of dynamic circuits using negative capacitance technique.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Comparative analysis of power yield improvement under process variation of sub-threshold flip-flops.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
IEEE Trans. Circuits Syst. II Express Briefs, 2009
Comparative Analysis of Timing Yield Improvement under Process Variations of Flip-Flops Circuits.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009
2008
A Comparative Study Between Static and Dynamic Sleep Signal Generation Techniques for Leakage Tolerant Designs.
IEEE Trans. Very Large Scale Integr. Syst., 2008
IEEE Trans. Circuits Syst. II Express Briefs, 2008
Analysis of the Flash ADC Bandwidth-Accuracy Tradeoff in Deep-Submicron CMOS Technologies.
IEEE Trans. Circuits Syst. II Express Briefs, 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IEEE J. Solid State Circuits, 2008
2007
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007
2006
Lumped-element analysis and design of CMOS distributed amplifiers with image impedance termination.
Microelectron. J., 2006
Microelectron. J., 2006
Microelectron. J., 2006
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
Integr., 2005
A Low-Power Partitioning Methodology by Maximizing Sleep Time and Minimizing Cut Nets.
Proceedings of the 5th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC 2005), 2005
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005
Proceedings of the 2005 Design, 2005
2004
IEEE Trans. Circuits Syst. I Regul. Pap., 2004
IEEE Trans. Circuits Syst. I Regul. Pap., 2004
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
2003
IEEE Trans. Circuits Syst. II Express Briefs, 2003
IEEE Trans. Circuits Syst. II Express Briefs, 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
2002
Energy-efficient noise-tolerant dynamic styles for scaled-down CMOS and MTCMOS technologies.
IEEE Trans. Very Large Scale Integr. Syst., 2002
IEEE J. Solid State Circuits, 2002
Integr. Comput. Aided Eng., 2002
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002
Proceedings of the 1st IEEE International Workshop on Electronic Design, 2002
Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique.
Proceedings of the 39th Design Automation Conference, 2002
2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
IEEE J. Solid State Circuits, 2001
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001
2000
IEEE Trans. Very Large Scale Integr. Syst., 2000
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000
IEEE J. Solid State Circuits, 2000
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000
A contention-free domino logic for scaled-down CMOS technologies with ultra low threshold voltages.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000
An Efficient and Accurate Model for RF/Microwave Spiral Inductors Using Microstrip Lines Theory.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000
1999
Differential PLL for wireless applications using differential CMOS LC-VCO and differential charge pump.
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999
A low power monolithic subsampled phase-locked loop architecture for wireless transceivers.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999
A Fast Parametric Model for Contact-Substrate Coupling.
Proceedings of the VLSI: Systems on a Chip, 1999
Proceedings of the IEEE International Conference On Computer Design, 1999
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999
Fully integrated low phase-noise PLLs using closed-loop voltage-to-frequency converter architectures.
Proceedings of the IEEE 1999 Custom Integrated Circuits Conference, 1999
A low-power direct digital frequency synthesizer architecture for wireless communications.
Proceedings of the IEEE 1999 Custom Integrated Circuits Conference, 1999
1998
IEEE Trans. Very Large Scale Integr. Syst., 1998
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998
1997
IEEE Trans. Very Large Scale Integr. Syst., 1997
IEEE J. Solid State Circuits, 1997
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
1996
Neural Parallel Sci. Comput., 1996
IEEE J. Solid State Circuits, 1996
IEEE J. Solid State Circuits, 1996
IEEE J. Solid State Circuits, 1996
IEEE J. Solid State Circuits, 1996
J. Circuits Syst. Comput., 1996
A comparison of CMOS implementations of an asynchronous circuits primitive: the C-element.
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996
Proceedings of the 1996 IEEE International Conference on Acoustics, 1996
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996
1995
Active-pull-down nonthreshold logic BiCMOS circuits for high-speed low-power applications.
IEEE J. Solid State Circuits, June, 1995
Bootstrapped full-swing BiCMOS/BiNMOS logic circuits for 1.2-3.3 V supply voltage regime.
IEEE J. Solid State Circuits, June, 1995
IEEE J. Solid State Circuits, June, 1995
IEEE J. Solid State Circuits, May, 1995
Differential BiCMOS logic circuits: fault characterization and design-for-testability.
IEEE Trans. Very Large Scale Integr. Syst., 1995
TRASIM: compact and efficient two-dimensional transient simulator for arbitrary planar semiconductor devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
Neural Parallel Sci. Comput., 1995
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995
1994
IEEE J. Solid State Circuits, October, 1994
IEEE J. Solid State Circuits, June, 1994
Full-swing Schottky BiCMOS/BiNMOS and the effects of operating frequency and supply voltage scaling.
IEEE J. Solid State Circuits, June, 1994
IEEE J. Solid State Circuits, February, 1994
IEEE J. Solid State Circuits, January, 1994
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994
Neural Parallel Sci. Comput., 1994
Analysis of the correlation structure for a neural predictive model with application to speech recognition.
Neural Networks, 1994
Fuzzy Clustering Neural Network (FCNN): Competitive Learning and Parallel Architecture.
J. Intell. Fuzzy Syst., 1994
BiCMOS Active-Pull-Down Non-Threshold Logic Cicuits for High-Speed Low-Power Applications.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994
Vowel classification using a neural predictive HMM: a discriminative training approach.
Proceedings of ICASSP '94: IEEE International Conference on Acoustics, 1994
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994
1993
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
Modular switched-resistor ANN chip for character recognition using novel parallel VLSI architecture.
Neural Parallel Sci. Comput., 1993
Analog neural network building blocks based on current mode subthreshold operation.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993
Minimum description length pruning and maximum mutual information training of adaptive probabilistic neural networks.
Proceedings of International Conference on Neural Networks (ICNN'88), San Francisco, CA, USA, March 28, 1993
1992
IEEE Trans. Neural Networks, 1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992
1991
Simultaneous Scheduling and Allocation for Cost Constrained Optimal Architectural Synthesis.
Proceedings of the 28th Design Automation Conference, 1991
1990
Proceedings of the IJCNN 1990, 1990
1989
IEEE J. Solid State Circuits, April, 1989
IEEE J. Solid State Circuits, April, 1989
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989
1988
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988
1987
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1987
Proceedings of the SIGCHI/GI Conference on Human Factors in Computing Systems and Graphics Interface, 1987
1984
Proceedings of the 21st Design Automation Conference, 1984
1982
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1982
1976
1975
IEEE Trans. Computers, 1975