Mingu Kang
Orcid: 0000-0001-6889-4010
According to our database1,
Mingu Kang
authored at least 69 papers
between 2009 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Split WL 6T SRAM-Based Bit Serial Computing-in-Memory Macro With High Signal Margin and High Throughput.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2024
FreFlex: A High-Performance Processor for Convolution and Attention Computations via Sparsity-Adaptive Dynamic Frequency Boosting.
IEEE J. Solid State Circuits, March, 2024
MaD-Scientist: AI-based Scientist solving Convection-Diffusion-Reaction Equations Using Massive PINN-Based Prior Data.
CoRR, 2024
FSL-HDnn: A 5.7 TOPS/W End-to-end Few-shot Learning Classifier Accelerator with Feature Extraction and Hyperdimensional Computing.
CoRR, 2024
An Analog and Digital Hybrid Attention Accelerator for Transformers with Charge-based In-memory Computing.
CoRR, 2024
Efficient Transformer Acceleration via Reconfiguration for Encoder and Decoder Models and Sparsity-Aware Algorithm Mapping.
Proceedings of the 29th ACM/IEEE International Symposium on Low Power Electronics and Design, 2024
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024
Proceedings of the International Conference on Electronics, Information, and Communication, 2024
LEAF: An Adaptation Framework against Noisy Data on Edge through Ultra Low-Cost Training.
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
52.5 TOPS/W 1.7GHz Reconfigurable XGBoost Inference Accelerator Based on Modular-Unit-Tree with Dynamic Data and Compute Gating.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2024
2023
Int. J. Softw. Eng. Knowl. Eng., March, 2023
PillarAcc: Sparse PointPillars Accelerator for Real-Time Point Cloud 3D Object Detection on Edge Devices.
CoRR, 2023
NCDE: In-Network Caching for Directory Entries to Expedite Data Access in Tiled-Chip Multiprocessors.
IEEE Access, 2023
A Switched-Capacitor Integer Compute Unit with Decoupled Storage and Arithmetic for Cloud AI Inference in 5nm CMOS.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023
High-Speed Wafer Temperature Control Approach of Step Chiller for Semiconductor Manufacturing Equipment.
Proceedings of the 49th Annual Conference of the IEEE Industrial Electronics Society, 2023
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023
AI Processor with Sparsity-adaptive Real-time Dynamic Frequency Modulation for Convolutional Neural Networks and Transformers.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023
2022
A 7-nm Four-Core Mixed-Precision AI Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS INT4 Inference, and Workload-Aware Throttling.
IEEE J. Solid State Circuits, 2022
The effects of socio-technical integration on sustainability practices: a supply chain perspective.
Ind. Manag. Data Syst., 2022
Supply chain planning and innovation performance: the moderated mediation effect of IT usage.
Ind. Manag. Data Syst., 2022
Int. J. Softw. Eng. Knowl. Eng., 2022
On-the-Fly Lowering Engine: Offloading Data Layout Conversion for Convolutional Neural Networks.
IEEE Access, 2022
Sparse Attention Acceleration with Synergistic In-Memory Pruning and On-Chip Recomputation.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022
Graph Summarization for Human-Understandable Visualization towards CVE Data Analysis.
Proceedings of the IEEE International Conference on Big Data and Smart Computing, 2022
2021
Mental Stress Classification Based on a Support Vector Machine and Naive Bayes Using Electrocardiogram Signals.
Sensors, 2021
Resilience against Adversarial Examples: Data-Augmentation Exploiting Generative Adversarial Networks.
KSII Trans. Internet Inf. Syst., 2021
Ind. Manag. Data Syst., 2021
RankingMatch: Delving into Semi-Supervised Learning with Consistency Regularization and Ranking Loss.
CoRR, 2021
The Effect of Intellectual Leadership on Mass Customization: Moderated Mediation Effect of Customer Market Knowledge.
IEEE Access, 2021
A 7nm 4-Core AI Chip with 25.6TFLOPS Hybrid FP8 Training, 102.4TOPS INT4 Inference and Workload-Aware Throttling.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021
Understanding and Reducing Weight-Load Overhead of Systolic Deep Learning Accelerators.
Proceedings of the 18th International SoC Design Conference, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
ReRankMatch: Semi-Supervised Learning with Semantics-Oriented Similarity Representation.
Proceedings of the International Joint Conference on Neural Networks, 2021
CAP-GAN: Towards Adversarial Robustness with Cycle-consistent Attentional Purification.
Proceedings of the International Joint Conference on Neural Networks, 2021
2020
Deep In-Memory Architectures for Machine Learning-Accuracy Versus Efficiency Trade-Offs.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020
Proc. IEEE, 2020
Ind. Manag. Data Syst., 2020
CoRR, 2020
A 3.0 TFLOPS 0.62V Scalable Processor Core for High Compute Utilization AI Training and Inference.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020
Electromyogram-based algorithm using bagged trees for biometric person authentication and motion recognition.
Proceedings of the 2020 IEEE International Conference on Consumer Electronics (ICCE), 2020
Proceedings of the 2020 IEEE International Conference on Consumer Electronics (ICCE), 2020
2019
An Energy-Efficient Programmable Mixed-Signal Accelerator for Machine Learning Algorithms.
IEEE Micro, 2019
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
2018
IEEE Trans. Commun., 2018
IEEE J. Solid State Circuits, 2018
A 19.4-nJ/Decision, 364-K Decisions/s, In-Memory Random Forest Multi-Class Inference Accelerator.
IEEE J. Solid State Circuits, 2018
IEEE J. Solid State Circuits, 2018
Ind. Manag. Data Syst., 2018
Ind. Manag. Data Syst., 2018
Supply chain integration and coordination for international sourcing in the context of China's processing trade.
Ind. Manag. Data Syst., 2018
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018
Optimal Placement and Sizing of DG and Shunt Capacitor for Power Loss Minimization in an Islanded Distribution System.
Proceedings of the Smart Grid and Innovative Frontiers in Telecommunications, 2018
A 42pJ/decision 3.12TOPS/W robust in-memory machine learning classifier with on-chip training.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018
Proceedings of the 2018 IEEE International Symposium on Information Theory, 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
PROMISE: An End-to-End Design of a Programmable Mixed-Signal Accelerator for Machine-Learning Algorithms.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
2017
A 19.4 nJ/decision 364K decisions/s in-memory random forest classifier in 6T SRAM array.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017
2016
IEEE Trans. Biomed. Circuits Syst., 2016
CoRR, 2016
A 481pJ/decision 3.4M decision/s Multifunctional Deep In-memory Inference Processor using Standard 6T SRAM Array.
CoRR, 2016
2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
An energy-efficient memory-based high-throughput VLSI architecture for convolutional networks.
Proceedings of the 2015 IEEE International Conference on Acoustics, 2015
FamCom: A Communication Service Enhancing Conversation Quality Between Elders Residing in Care Hospital and Their Family Member.
Proceedings of the 33rd Annual ACM Conference Extended Abstracts on Human Factors in Computing Systems, 2015
2013
Int. J. Circuit Theory Appl., 2013
2012
Experimental observation of gate geometry dependent characteristic degradations of the multi-finger MOSFETs.
Microelectron. Reliab., 2012
2009
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009