Michel Dubois
Affiliations:- University of Southern California, Los Angeles, CA, USA
According to our database1,
Michel Dubois
authored at least 118 papers
between 1981 and 2020.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on dl.acm.org
On csauthors.net:
Bibliography
2020
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2020
2018
IEEE Comput. Archit. Lett., 2018
2016
IEEE Trans. Computers, 2016
ACM Trans. Archit. Code Optim., 2016
2015
ACM Trans. Archit. Code Optim., 2015
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015
2014
IEEE Trans. Computers, 2014
Reliability-Aware Exceptions: Tolerating intermittent faults in microprocessor array structures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
2013
Proceedings of the 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2013
2012
MACAU: A Markov model for reliability evaluations of caches under Single-bit and Multi-bit Upsets.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012
2011
Proceedings of the SIGMETRICS 2011, 2011
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011
2010
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010
2009
Trans. High Perform. Embed. Archit. Compil., 2009
SIGARCH Comput. Archit. News, 2009
J. Syst. Archit., 2009
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009
Proceedings of the ICPP 2009, 2009
2008
IEEE Trans. Computers, 2008
J. Instr. Level Parallelism, 2008
STAMP: A universal algorithmic model for next-generation multithreaded machines and systems.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
2007
SimWattch: Integrating Complete-System and User-Level Performance and Power Simulators.
IEEE Micro, 2007
STAMP: A Universal Algorithmic Model for Next-Generation Multithreaded Machines and Systems.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
Proceedings of the 2007 International Conference on Parallel Processing (ICPP 2007), 2007
2006
Proceedings of the Third Conference on Computing Frontiers, 2006
2005
Moving Address Translation Closer to Memory in Distributed Shared-Memory Multiprocessors.
IEEE Trans. Parallel Distributed Syst., 2005
Proceedings of the Second Conference on Computing Frontiers, 2005
2004
IEEE Trans. Computers, 2004
Proceedings of the First Conference on Computing Frontiers, 2004
Proceedings of the First Conference on Computing Frontiers, 2004
2003
Scalability implications of software-implemented coherence.
Comput. Syst. Sci. Eng., 2003
Integrating complete-system and user-level performance/power simulators: the SimWattch approach.
Proceedings of the 2003 IEEE International Symposium on Performance Analysis of Systems and Software, 2003
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), 2003
2002
Shared cache architectures for decision support systems.
Perform. Evaluation, 2002
The FAB Predictor: Using Fourier Analysis to Predict the Outcome of Conditional Branches.
Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002
2001
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), 2001
2000
Formal Automatic Verification of Cache Coherence in Multiprocessors with Relaxed Memory Models.
IEEE Trans. Parallel Distributed Syst., 2000
Compiler Controlled Prefetching for Multiprocessors Using Low-Overhead Traps and Prefetch Engines.
J. Parallel Distributed Comput., 2000
1999
Proceedings of the Eleventh Annual ACM Symposium on Parallel Algorithms and Architectures, 1999
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999
1998
IEEE Trans. Computers, 1998
Performance Evaluation and Cost Analysis of Cache Protocol Extensions for Shared-Memory Multiprocessors.
IEEE Trans. Computers, 1998
J. ACM, 1998
Proceedings of the Tenth Annual ACM Symposium on Parallel Algorithms and Architectures, 1998
Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998
Proceedings of the 25 Years of the International Symposia on Computer Architecture (Selected Papers)., 1998
Proceedings of the 25 Years of the International Symposia on Computer Architecture (Selected Papers)., 1998
Proceedings of the Fourth International Symposium on High-Performance Computer Architecture, Las Vegas, Nevada, USA, January 31, 1998
1997
IEEE Micro, 1997
Hybrid compiler/hardware prefetching for multiprocessors using low-overhead cache miss traps.
Proceedings of the 1997 International Conference on Parallel Processing (ICPP '97), 1997
Proceedings of the 1997 International Conference on Parallel Processing (ICPP '97), 1997
Proceedings of the 1997 International Conference on Parallel and Distributed Systems (ICPADS '97), 1997
1996
J. Parallel Distributed Comput., 1996
Proceedings of IPPS '96, 1996
1995
IEEE Trans. Parallel Distributed Syst., 1995
IEEE Trans. Parallel Distributed Syst., 1995
IEEE Trans. Computers, 1995
J. Parallel Distributed Comput., 1995
Implementation and evaluation of update-based cache protocols under relaxed memory consistency models.
Future Gener. Comput. Syst., 1995
Proceedings of the Third International ACM Symposium on Field-Programmable Gate Arrays, 1995
Verifying Distributed Directory-Based Cahce Coherence Protocols: S3.mp, a Case Study.
Proceedings of the Euro-Par '95 Parallel Processing, 1995
1994
Proceedings of the 21st Annual International Symposium on Computer Architecture. Chicago, 1994
Proceedings of the 1994 International Conference on Parallel Processing, 1994
1993
Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, 1993
Proceedings of the 5th Annual ACM Symposium on Parallel Algorithms and Architectures, 1993
Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, 1993
Proceedings of the 20th Annual International Symposium on Computer Architecture, 1993
Proceedings of the 20th Annual International Symposium on Computer Architecture, 1993
Proceedings of the Seventh International Parallel Processing Symposium, 1993
Proceedings of the 7th international conference on Supercomputing, 1993
Proceedings of the 1993 International Conference on Parallel Processing, 1993
1992
J. Parallel Distributed Comput., 1992
Proceedings of the PARLE '92: Parallel Architectures and Languages Europe, 1992
Matching Algorithms and Architecture in Hierarchical Shared-Memory Multiprocessor (HMS) Systems.
Proceedings of the 6th International Parallel Processing Symposium, 1992
1991
IEEE Trans. Computers, 1991
J. Parallel Distributed Comput., 1991
Proceedings of the Proceedings Supercomputing '91, 1991
Analytical Modeling for Finite Cache Effects.
Proceedings of the International Conference on Parallel Processing, 1991
Cache Coherence on a Slotted Ring.
Proceedings of the International Conference on Parallel Processing, 1991
1990
IEEE Trans. Software Eng., 1990
Performance comparison of cache coherence protocols based on the access burst model.
Comput. Syst. Sci. Eng., 1990
Cache Architectures in Tightly Coupled Multiprocessors - Guest Editors' Introduction to the Special Issue.
Computer, 1990
OMP: a RISC-based multiprocessor using orthogonal-access memories and multiple spanning buses.
Proceedings of the 4th international conference on Supercomputing, 1990
Asynchronous Iterations with Bounded Delay.
Proceedings of the 1990 International Conference on Parallel Processing, 1990
Algorithm-Driven Simulation and Performance Projection of a RISC-based Orthogonal Multiprocessor.
Proceedings of the 1990 International Conference on Parallel Processing, 1990
Transient Models of Bus-Based Multiprocessors.
Proceedings of the 1990 International Conference on Parallel Processing, 1990
1989
IEEE Trans. Computers, 1989
Parallel Comput., 1989
1988
IEEE Trans. Computers, 1988
Proceedings of the Proceedings Supercomputing '88, Orlando, FL, USA, November 12-17, 1988, 1988
Concurrent Miss Resolution in Multiprocessor Caches.
Proceedings of the International Conference on Parallel Processing, 1988
Shared Data Contention in a Cache Coherence Protocol.
Proceedings of the International Conference on Parallel Processing, 1988
1987
Proceedings of the 14th Annual International Symposium on Computer Architecture. Pittsburgh, 1987
Asynchronous Relaxation of Non-Numerical Data.
Proceedings of the International Conference on Parallel Processing, 1987
Effect of Invalidations on the Hit Ratio of Cache-Based Multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1987
1986
Trace-Driven Simulations of Parallel and Distributed Algorithms in Multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1986
Proceedings of the CONPAR 86: Conference on Algorithms and Hardware for Parallel Processing, 1986
1985
1983
Effectiveness of Private Caches in Multiprocessor Systems with Parallel-Pipelined Memories.
IEEE Trans. Computers, 1983
1982
IEEE Trans. Software Eng., 1982
An approximate analytical model for asynchronous processes in multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1982
1981
Proceedings of the 1981 ACM SIGMETRICS conference on Measurement and modeling of computer systems, 1981
Proceedings of the 8th Annual Symposium on Computer Architecture, 1981
Throughout Analysis and Configuration Design of a Shared-Resource Multiprocessor System: PUMPS.
Proceedings of the 8th Annual Symposium on Computer Architecture, 1981