Michael Scheuermann
According to our database1,
Michael Scheuermann
authored at least 13 papers
between 2011 and 2022.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2022
A 7-nm Four-Core Mixed-Precision AI Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS INT4 Inference, and Workload-Aware Throttling.
IEEE J. Solid State Circuits, 2022
2021
A 7nm 4-Core AI Chip with 25.6TFLOPS Hybrid FP8 Training, 102.4TOPS INT4 Inference and Workload-Aware Throttling.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
2020
A 3.0 TFLOPS 0.62V Scalable Processor Core for High Compute Utilization AI Training and Inference.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020
2018
IBM z14: Enabling physical design in 14-nm technology for high-performance, high-reliability microprocessors.
IBM J. Res. Dev., 2018
A Scalable Multi- TeraOPS Deep Learning Processor Core for AI Trainina and Inference.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018
Proceedings of the International Symposium on Low Power Electronics and Design, 2018
2016
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016
2013
Transient modeling of TSV-wire electromigration and lifetime analysis of power distribution network for 3D ICs.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
2012
A 3D system prototype of an eDRAM cache stacked over processor-like logic using through-silicon vias.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012
Analysis of DC current crowding in through-silicon-vias and its impact on power integrity in 3D ICs.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
Proceedings of the 48th Design Automation Conference, 2011