Michael S. Hsiao
Affiliations:- Virginia Tech, Blacksburg, VA, USA
According to our database1,
Michael S. Hsiao
authored at least 229 papers
between 1995 and 2022.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2022
Proceedings of the Fourth International Conference on Transdisciplinary AI, 2022
Automated Suggestions Framework for Processing Hardware Specifications Written in English.
Proceedings of the Forum on Specification & Design Languages, 2022
Hybrid Rule-based and Machine Learning System for Assertion Generation from Natural Language Specifications.
Proceedings of the IEEE 31st Asian Test Symposium, 2022
2021
Formal Validation for Natural Language Programming using Hierarchical Finite State Automata.
Proceedings of the 13th International Conference on Agents and Artificial Intelligence, 2021
2020
Proceedings of the IEEE International Test Conference, 2020
Transforming Natural Language Specifications to Logical Forms for Hardware Verification.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020
Breaking Down High-Level Robot Path-Finding Abstractions in Natural Language Programming.
Proceedings of the AIxIA 2020 - Advances in Artificial Intelligence, 2020
2019
Controlled Natural Language Framework for Generating Assertions from Hardware Specifications.
Proceedings of the 13th IEEE International Conference on Semantic Computing, 2019
Proceedings of the Rules and Reasoning - Third International Joint Conference, 2019
2018
Proceedings of the 36th IEEE VLSI Test Symposium, 2018
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018
Proceedings of the 36th IEEE International Conference on Computer Design, 2018
Automated Program Synthesis from Object-Oriented Natural Language for Computer Games.
Proceedings of the Controlled Natural Language, 2018
Proceedings of the 2018 IEEE International Conference on Artificial Intelligence and Virtual Reality, 2018
2017
Proceedings of the 35th IEEE VLSI Test Symposium, 2017
Proceedings of the IEEE International Test Conference, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
A Test Pattern Quality Metric for Diagnosis of Multiple Stuck-at and Transition faults.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017
2016
Proceedings of the 17th Latin-American Test Symposium, 2016
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
2015
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
Information-theoretic and statistical methods of failure log selection for improved diagnosis.
Proceedings of the 2015 IEEE International Test Conference, 2015
Fast Stimuli Generation for Design Validation of RTL Circuits Using Binary Particle Swarm Optimization.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015
SI-SMART: Functional test generation for RTL circuits using loop abstraction and learning recurrence relationships.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 20th IEEE European Test Symposium, 2015
2014
Proceedings of the 2014 International Test Conference, 2014
Proceedings of the 19th IEEE European Test Symposium, 2014
Proceedings of the 19th IEEE European Test Symposium, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 23rd IEEE Asian Test Symposium, 2014
2013
IEEE Des. Test, 2013
Selecting critical implications with set-covering formulation for SAT-based Bounded Model Checking.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
A novel statistical and circuit-based technique for counterfeit detection in existing ICs.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013
Set-cover-based critical implications selection to improvesat-based bounded model checking: extended abstract.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013
Proceedings of the 18th IEEE European Test Symposium, 2013
A novel concurrent cache-friendly binary decision diagram construction for multi-core platforms.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Cyber Security and Information Intelligence, 2013
Functional Test Generation at the RTL Using Swarm Intelligence and Bounded Model Checking.
Proceedings of the 22nd Asian Test Symposium, 2013
2012
Launch-on-Shift Test Generation for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.
ACM Trans. Design Autom. Electr. Syst., 2012
Reducing descriptor measurement error through Bayesian estimation of fingerprint minutia location and direction.
IET Biom., 2012
Proceedings of the 30th IEEE VLSI Test Symposium, 2012
Proceedings of the 25th International Conference on VLSI Design, 2012
Proceedings of the 2012 IEEE International Test Conference, 2012
Sequential equivalence checking of hard instances with targeted inductive invariants and efficient filtering strategies.
Proceedings of the 2012 IEEE International High Level Design Validation and Test Workshop, 2012
RAG: An efficient reliability analysis of logic circuits on graphics processing units.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the IEEE Fifth International Conference on Biometrics: Theory, 2012
Proceedings of the IEEE Fifth International Conference on Biometrics: Theory, 2012
2011
Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Sci. China Inf. Sci., 2011
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011
A Novel Learning Framework for State Space Exploration Based on Search State Extensibility Relation.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011
Proceedings of the 4th International Conference on Imaging for Crime Detection and Prevention, 2011
Minutiae + friction ridges = triplet-based features for determining sufficiency in fingerprints.
Proceedings of the 4th International Conference on Imaging for Crime Detection and Prevention, 2011
A Bayesian approach to fingerprint minutia localization and quality assessment using adaptable templates.
Proceedings of the 2011 IEEE International Joint Conference on Biometrics, 2011
Proceedings of the HOST 2011, 2011
Proceedings of the 2011 IEEE International High Level Design Validation and Test Workshop, 2011
Proceedings of the 2011 IEEE International High Level Design Validation and Test Workshop, 2011
Proceedings of the 16th European Test Symposium, 2011
Experiment and Analysis Services in a Fingerprint Digital Library for Collaborative Research.
Proceedings of the Research and Advanced Technology for Digital Libraries, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
2010
Search State Compatibility Based Incremental Learning Framework and Output Deviation Based X-filling for Diagnostic Test Generation.
J. Electron. Test., 2010
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
Proceedings of the HOST 2010, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
Tackling the Path Explosion Problem in Symbolic Execution-Driven Test Generation for Programs.
Proceedings of the 19th IEEE Asian Test Symposium, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
2009
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009
Proceedings of the Ninth Annual International Symposium on Applications and the Internet, 2009
Proceedings of the 2009 IEEE International Test Conference, 2009
Fast circuit topology based method to configure the scan chains in Illinois Scan architecture.
Proceedings of the 2009 IEEE International Test Conference, 2009
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009
Diagnostic Test Generation for silicon diagnosis with an incremental learning framework based on search state compatibility.
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2009
An efficient path-oriented bitvector encoding width computation algorithm for bit-precise verification.
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Eighteentgh Asian Test Symposium, 2009
Kiss the Scan Goodbye: A Non-scan Architecture for High Coverage, Low Test Data Volume and Low Test Application Time.
Proceedings of the Eighteentgh Asian Test Symposium, 2009
2008
ACM Trans. Design Autom. Electr. Syst., 2008
Mining Global Constraints With Domain Knowledge for Improving Bounded Sequential Equivalence Checking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
J. Satisf. Boolean Model. Comput., 2008
A New Scan Architecture for Both Low Power Testing and Test Volume Compression Under SOC Test Environment.
J. Electron. Test., 2008
Proceedings of the 2008 IEEE International Test Conference, 2008
Quantifying the energy efficiency of coordinated micro-architectural adaptation for multimedia workloads.
Proceedings of the 26th International Conference on Computer Design, 2008
Ant Colony Optimization directed program abstraction for software bounded model checking.
Proceedings of the 26th International Conference on Computer Design, 2008
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2008
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008
On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
On Providing Automatic Parental Consent over Information Collection from Children.
Proceedings of the 2008 International Conference on Security & Management, 2008
2007
An Overlapping Scan Architecture for Reducing Both Test Time and Test Power by Pipelining Fault Detection.
IEEE Trans. Very Large Scale Integr. Syst., 2007
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2007
Proceedings of the 2007 IEEE International Test Conference, 2007
Proceedings of the 2007 IEEE International Test Conference, 2007
Proceedings of the 25th International Conference on Computer Design, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 16th Asian Test Symposium, 2007
Proceedings of the Reaching New Heights. 13th Americas Conference on Information Systems, 2007
2006
A Framework for Automatic Design Validation of RTL Circuits Using ATPG and Observability-Enhanced Tag Coverage.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
State Variable Extraction and Partitioning to Reduce Problem Complexity for ATPG and Design Validation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
A New Simulation-Based Property Checking Algorithm Based on Partitioned Alternative Search Space Traversal.
IEEE Trans. Computers, 2006
IEEE Trans. Computers, 2006
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006
Proceedings of the 2006 IEEE International Test Conference, 2006
Characteristic States and Cooperative Game Based Search for Efficient Sequential ATPG and Design Validation.
Proceedings of the 2006 IEEE International Test Conference, 2006
Implicit Search-Space Aware Cofactor Expansion: A Novel Preimage Computation Technique.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006
Proceedings of the 43rd Design Automation Conference, 2006
Proceedings of the 43rd Design Automation Conference, 2006
2005
ACM Trans. Design Autom. Electr. Syst., 2005
J. Electron. Test., 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Towards an Intrusion Detection System for Battery Exhaustion Attacks on Mobile Computing Devices.
Proceedings of the 3rd IEEE Conference on Pervasive Computing and Communications Workshops (PerCom 2005 Workshops), 2005
Region-level approximate computation reuse for power reduction in multimedia applications.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005
A Formal Framework for Modeling and Analysis of System-Level Dynamic Power Management.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Extended Forward Implications and Dual Recurrence Relations to Identify Sequentially Untestable Faults.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Increasing the deducibility in CNF instances for efficient SAT-based bounded model checking.
Proceedings of the Tenth IEEE International High-Level Design Validation and Test Workshop 2005, Napa Valley, CA, USA, November 30, 2005
Proceedings of the Tenth IEEE International High-Level Design Validation and Test Workshop 2005, Napa Valley, CA, USA, November 30, 2005
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
Forward image computation with backtracing ATPG and incremental state-set construction.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
Quality-Driven Proactive Computation Elimination for Power-Aware Multimedia Processing.
Proceedings of the 2005 Design, 2005
Integration of Learning Techniques into Incremental Satisfiability for Efficient Path-Delay Fault Test Generation.
Proceedings of the 2005 Design, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the Correct Hardware Design and Verification Methods, 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
2004
Using Global Structural Relationships of Signals to Accelerate SAT-based Combinational Equivalence Checking.
J. Univers. Comput. Sci., 2004
IEEE Des. Test Comput., 2004
Efficient ATPG for Design Validation Based On Partitioned State Exploration Histories.
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004
Untestable Fault Identification using Recurrence Relations and Impossible Value Assignments.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the Second IEEE International Conference on Pervasive Computing and Communications (PerCom 2004), 2004
State Variable Extraction to Reduce Problem Complexity for ATPG and Design Validation.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Identifying Untestable Transition Faults in Latch Based Designs with Multiple Clocks.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the Ninth IEEE International High-Level Design Validation and Test Workshop 2004, 2004
Proceedings of the Ninth IEEE International High-Level Design Validation and Test Workshop 2004, 2004
Proceedings of the 2004 Design, 2004
2003
J. Electron. Test., 2003
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the Eighth IEEE International High-Level Design Validation and Test Workshop 2003, 2003
Proceedings of the Eighth IEEE International High-Level Design Validation and Test Workshop 2003, 2003
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
2002
VLSI Design, 2002
J. Electron. Test., 2002
J. Electron. Test., 2002
IEEE Des. Test Comput., 2002
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002
Proceedings of the Power-Aware Computer Systems, Second International Workshop, 2002
Reducing Power Consumption by Utilizing Retransmission in Short Range Wireless Network.
Proceedings of the 27th Annual IEEE Conference on Local Computer Networks (LCN 2002), 2002
Improving Sequential ATPG Using SAT Methods.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002
Proceedings of the 7th European Test Workshop, 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 39th Design Automation Conference, 2002
2001
Exploring the Interaction between Java?s Implicitly Thrown Exceptions and Instruction Scheduling.
Int. J. Parallel Program., 2001
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001
Compiler-directed dynamic voltage/frequency scheduling for energy reduction in mircoprocessors.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001
Practical use of sequential ATPG for model checking: going the extra mile does pay off.
Proceedings of the Sixth IEEE International High-Level Design Validation and Test Workshop 2001, 2001
Proceedings of the Conference on Design, Automation and Test in Europe, 2001
2000
IEEE Trans. Very Large Scale Integr. Syst., 2000
ACM Trans. Design Autom. Electr. Syst., 2000
Formal Value-Range and Variable Testability Techniques for High-Level Design-For-Testability.
J. Electron. Test., 2000
Test Set and Fault Partitioning Techniques for Static Test Sequence Compaction for Sequential Circuits.
J. Electron. Test., 2000
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000
Proceedings of the Power-Aware Computer Systems, First International Workshop, 2000
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000
Proceedings of the 2000 Design, 2000
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000
1999
IEEE Trans. Computers, 1999
J. Electron. Test., 1999
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999
Proceedings of the Languages and Compilers for Parallel Computing, 1999
An integrated approach to behavioral-level design-for-testability using value-range and variable testability techniques.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999
Proceedings of the 1999 Design, 1999
1998
Application of genetically engineered finite-state-machine sequences to sequential circuit ATPG.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
State Relaxation Based Subsequence Removal for Fast Static Compaction in Sequential Circuits.
Proceedings of the 1998 Design, 1998
Partitioning and Reordering Techniques for Static Test Sequence Compaction of Sequential Circuits.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998
1997
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Proceedings of the Digest of Papers: FTCS-27, 1997
Proceedings of the European Design and Test Conference, 1997
1996
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996
Proceedings of the 1996 European Design and Test Conference, 1996
1995
A new architectural-level fault simulation using propagation prediction of grouped fault-effects.
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995