Michael Kishinevsky
Orcid: 0000-0002-5593-9694
According to our database1,
Michael Kishinevsky
authored at least 121 papers
between 1991 and 2023.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2023
IEEE Des. Test, December, 2023
ACM Trans. Design Autom. Electr. Syst., September, 2023
Fast Performance Analysis for NoCs With Weighted Round-Robin Arbitration and Finite Buffers.
IEEE Trans. Very Large Scale Integr. Syst., May, 2023
Introduction to the Special Issue on Domain-Specific System-on-Chip Architectures and Run-Time Management Techniques.
ACM Trans. Embed. Comput. Syst., March, 2023
ACM Trans. Embed. Comput. Syst., March, 2023
Machine Learning-based Low Overhead Congestion Control Algorithm for Industrial NoCs.
CoRR, 2023
Uncertainty-Aware Online Learning for Dynamic Power Management in Large Manycore Systems.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
2022
DPM-NFV: Dynamic Power Management Framework for 5G User Plane Function using Bayesian Optimization.
Proceedings of the IEEE Global Communications Conference, 2022
2021
Analytical Performance Modeling of NoCs under Priority Arbitration and Bursty Traffic.
IEEE Embed. Syst. Lett., 2021
IEEE Comput. Archit. Lett., 2021
MOBO-NFV: Automated Tuning of a Network Function Virtualization System using Multi-Objective Bayesian Optimization.
Proceedings of the 17th IFIP/IEEE International Symposium on Integrated Network Management, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
2020
Guest Editors' Introduction: Design and Management of Mobile Platforms: From Smartphones to Wearable Devices.
IEEE Des. Test, 2020
Proceedings of the SLIP '20: System-Level Interconnect, 2020
Performance Analysis of Priority-Aware NoCs with Deflection Routing under Traffic Congestion.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
2019
ACM Trans. Embed. Comput. Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
Proceedings of the MobiQuitous 2019, 2019
PerfProbe: a systematic, cross-layer performance diagnosis framework for mobile platforms.
Proceedings of the 6th International Conference on Mobile Software Engineering and Systems, 2019
2018
IEEE Trans. Multi Scale Comput. Syst., 2018
IEEE Trans. Computers, 2018
STAFF: online learning with stabilized adaptive forgetting factor and feature selection algorithm.
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
ACM Trans. Embed. Comput. Syst., 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 1st Workshop on AutotuniNg and aDaptivity AppRoaches for Energy efficient HPC Systems, 2017
2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
2015
Proceedings of the 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction, 2015
A control-theoretic approach for energy efficient CPU-GPU subsystem in mobile platforms.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 2015 International Conference on Compilers, 2015
2014
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014
Proceedings of the 2014 International Conference on Compilers, 2014
2013
In-network monitoring and control policy for DVFS of CMP networks-on-chip and last level caches.
ACM Trans. Design Autom. Electr. Syst., 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Dynamic voltage and frequency scaling for shared resources in multicore processor designs.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
2012
Automatic generation of inductive invariants from high-level microarchitectural models of communication fabrics.
Formal Methods Syst. Des., 2012
IEEE Des. Test Comput., 2012
Proceedings of the International Workshop on System Level Interconnect Prediction, 2012
Proceedings of the International Workshop on System Level Interconnect Prediction, 2012
Proceedings of the Tenth ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2012
2011
ACM J. Emerg. Technol. Comput. Syst., 2011
Proceedings of the Verification, Model Checking, and Abstract Interpretation, 2011
Proceedings of the 2011 International Workshop on System Level Interconnect Prediction, 2011
Proceedings of the Interactive Theorem Proving - Second International Conference, 2011
2010
IEEE Trans. Computers, 2010
On the Performance Evaluation of Multi-Guarded Marked Graphs with Single-Server Semantics.
Discret. Event Dyn. Syst., 2010
Proceedings of the NOCS 2010, 2010
Proceedings of the 8th ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2010), 2010
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
2009
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the 46th Design Automation Conference, 2009
Proceedings of the 46th Design Automation Conference, 2009
Proceedings of the Business Process Management, 7th International Conference, 2009
Proceedings of the Ninth International Conference on Application of Concurrency to System Design, 2009
Proceedings of the Ninth International Conference on Application of Concurrency to System Design, 2009
2008
Proceedings of the 6th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2008), 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the Business Process Management, 6th International Conference, 2008
Proceedings of the Applications and Theory of Petri Nets, 29th International Conference, 2008
Proceedings of the 8th International Conference on Application of Concurrency to System Design (ACSD 2008), 2008
2007
IEEE Des. Test Comput., 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 44th Design Automation Conference, 2007
2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006
Proceedings of the Formal Methods in Computer-Aided Design, 6th International Conference, 2006
Proceedings of the 43rd Design Automation Conference, 2006
2004
Proceedings of the Formal Methods in Computer-Aided Design, 5th International Conference, 2004
2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
2002
Lazy transition systems and asynchronous circuit synthesis withrelative timing assumptions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
Coordinated transformations for high-level synthesis of high performance microprocessor blocks.
Proceedings of the 39th Design Automation Conference, 2002
2000
Proceedings of the Application and Theory of Petri Nets 2000, 2000
1999
Decomposition and technology mapping of speed-independent circuits using Boolean relations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
Synthesis of asynchronous control circuits with automatically generated relative timing assumptions.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999
Proceedings of the 36th Conference on Design Automation, 1999
Proceedings of the 36th Conference on Design Automation, 1999
1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
The Use of Petri Nets for the Design and Verification of Asynchronous Circuits and Systems.
J. Circuits Syst. Comput., 1998
Formal Methods Syst. Des., 1998
Lazy transition systems: application to timing optimization of asynchronous circuits.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
Proceedings of the 35th Conference on Design Automation, 1998
Identifying State Coding Conflicts in Asynchronous System Specifications Using Petri Net Unfoldings.
Proceedings of the 1st International Conference on Application of Concurrency to System Design (ACSD '98), 1998
1997
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997
Technology mapping of speed-independent circuits based on combinational decomposition and resynthesis.
Proceedings of the European Design and Test Conference, 1997
Proceedings of the 3rd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '97), 1997
Proceedings of the Application and Theory of Petri Nets 1997, 1997
1996
Formal Methods Syst. Des., 1996
Proceedings of the 33st Conference on Design Automation, 1996
Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '96), 1996
Proceedings of the Application and Theory of Petri Nets 1996, 1996
1995
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995
Proceedings of the 1995 European Design and Test Conference, 1995
1994
Formal Methods Syst. Des., 1994
Proceedings of the Theorem Provers in Circuit Design, 1994
Change Diagram : A behavioural model for very speed VLSI circuit/highly parallel systems.
Proceedings of the Second Euromicro Workshop on Parallel and Distributed Processing, 1994
Proceedings of the Proceedings EURO-DAC'94, 1994
Proceedings of the 31st Conference on Design Automation, 1994
Proceedings of the 31st Conference on Design Automation, 1994
Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems, 1994
Proceedings of the Application and Theory of Petri Nets 1994, 1994
1992
Analysis and Identification of Self-Timed Circuits.
Proceedings of the Designing Correct Circuits, 1992
1991
Proceedings of the conference on European design automation, 1991