Michael J. Schulte
Orcid: 0000-0003-1305-406XAffiliations:
- AMD, Sunnyvale, CA, USA
- University of Wisconsin-Madison, WI, USA (former)
According to our database1,
Michael J. Schulte
authored at least 142 papers
between 1993 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Proceedings of the 51st ACM/IEEE Annual International Symposium on Computer Architecture, 2024
2023
DeepSpeed4Science Initiative: Enabling Large-Scale Scientific Discovery through Sophisticated AI System Technologies.
CoRR, 2023
AMD Instinct<sup>TM</sup> MI250X Accelerator enabled by Elevated Fanout Bridge Advanced Packaging Architecture.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
2021
What Made Us Stronger: An Inside Look Back at the History of AMD Microprocessor Development.
IEEE Micro, 2021
2020
Proc. IEEE, 2020
2017
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017
Proceedings of the 24th IEEE Symposium on Computer Arithmetic, 2017
2015
2014
Low-Cost Per-Core Voltage Domain Support for Power-Constrained High-Performance Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2014
Process variation-aware workload partitioning algorithms for GPUs supporting spatial-multitasking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the International Conference on Parallel Architectures and Compilation, 2014
2013
Instruction Set Extensions for Matrix Decompositions on Software Defined Radio Architectures.
J. Signal Process. Syst., 2013
IEEE Trans. Computers, 2013
IEEE Trans. Computers, 2013
IEEE Micro, 2013
Exploiting GPU peak-power and performance tradeoffs through reduced effective pipeline latency.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
2012
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012
Something old and something new: P-states can borrow microarchitecture techniques too.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012
Cost-effective power delivery to support per-core voltage domains for power-constrained processors.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 23rd IEEE International Conference on Application-Specific Systems, 2012
Proceedings of the 23rd IEEE International Conference on Application-Specific Systems, 2012
Proceedings of the Conference Record of the Forty Sixth Asilomar Conference on Signals, 2012
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012
Lossless and lossy memory I/O link compression for improving performance of GPGPU workloads.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012
2011
IEEE Trans. Computers, 2011
Modular high-throughput and low-latency sorting units for FPGAs in the Large Hadron Collider.
Proceedings of the IEEE 9th Symposium on Application Specific Processors, 2011
Analyzing the performance and energy impact of 3D memory integration on embedded DSPs.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 22nd IEEE International Conference on Application-specific Systems, 2011
A decimal floating-point fused multiply-add unit with a novel decimal leading-zero anticipator.
Proceedings of the 22nd IEEE International Conference on Application-specific Systems, 2011
Proceedings of the Conference Record of the Forty Fifth Asilomar Conference on Signals, 2011
Proceedings of the Conference Record of the Forty Fifth Asilomar Conference on Signals, 2011
Proceedings of the Conference Record of the Forty Fifth Asilomar Conference on Signals, 2011
Proceedings of the Conference Record of the Forty Fifth Asilomar Conference on Signals, 2011
Improving Throughput of Power-Constrained GPUs Using Dynamic Voltage/Frequency and Core Scaling.
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
2010
Instruction set extensions for the advanced encryption standard on a multithreaded software defined radio platform.
Int. J. High Perform. Syst. Archit., 2010
Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, 2010
Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, 2010
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Proceedings of the 2010 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2010
2009
IEEE Trans. Computers, 2009
IEEE Trans. Computers, 2009
Microprocess. Microsystems, 2009
Performance analysis of decimal floating-point libraries and its impact on decimal hardware and software solutions.
Proceedings of the 27th International Conference on Computer Design, 2009
Proceedings of the FCCM 2009, 2009
Proceedings of the 20th IEEE International Conference on Application-Specific Systems, 2009
A Decimal Floating-Point Adder with Decoded Operands and a Decimal Leading-Zero Anticipator.
Proceedings of the 19th IEEE Symposium on Computer Arithmetic, 2009
2008
Proceedings of the 26th International Conference on Computer Design, 2008
Proceedings of the IEEE International Conference on Acoustics, 2008
2007
J. VLSI Signal Process., 2007
Software Solutions for Converting a MIMO-OFDM Channel into Multiple SISO-OFDM Channels.
Proceedings of the Third IEEE International Conference on Wireless and Mobile Computing, 2007
Proceedings of the Embedded Computer Systems: Architectures, 2007
Proceedings of the 25th International Conference on Computer Design, 2007
Proceedings of the 25th International Conference on Computer Design, 2007
Floating-point division algorithms for an x86 microprocessor with a rectangular multiplier.
Proceedings of the 25th International Conference on Computer Design, 2007
Proceedings of the 25th International Conference on Computer Design, 2007
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007
Architecture Support for Reconfigurable Multithreaded Processors in Programmable Communication Systems.
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007
Proceedings of the 18th IEEE Symposium on Computer Arithmetic (ARITH-18 2007), 2007
Proceedings of the 18th IEEE Symposium on Computer Arithmetic (ARITH-18 2007), 2007
2006
Reciprocal and Reciprocal Square Root Units with Operand Modification and Multiplication.
J. VLSI Signal Process., 2006
J. VLSI Signal Process., 2006
Generation and visualization of four-dimensional MR angiography data using an undersampled 3-D projection trajectory.
IEEE Trans. Medical Imaging, 2006
J. Syst. Archit., 2006
EURASIP J. Embed. Syst., 2006
2005
Proceedings of the Embedded Computer Systems: Architectures, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
Proceedings of the 2005 International Conference on Compilers, 2005
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005
Proceedings of the 17th IEEE Symposium on Computer Arithmetic (ARITH-17 2005), 2005
Proceedings of the 17th IEEE Symposium on Computer Arithmetic (ARITH-17 2005), 2005
2004
Proceedings of the Computer Systems: Architectures, 2004
Proceedings of the Medical Imaging 2004: Visualization, 2004
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004
Proceedings of the 15th IEEE International Conference on Application-Specific Systems, 2004
Proceedings of the 15th IEEE International Conference on Application-Specific Systems, 2004
2003
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003
Proceedings of the 16th IEEE Symposium on Computer Arithmetic (Arith-16 2003), 2003
2002
Proceedings of the Embedded Processor Design Challenges: Systems, Architectures, Modeling, and Simulation, 2002
2001
Combined IEEE Compliant and Truncated Floating Point Multipliers for Reduced Power Dissipation.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
Proceedings of the Field-Programmable Logic and Applications, 2001
Proceedings of the 15th IEEE Symposium on Computer Arithmetic (Arith-15 2001), 2001
2000
IEEE Trans. Computers, 2000
IEEE Trans. Computers, 2000
Proceedings of the 33rd Annual Hawaii International Conference on System Sciences (HICSS-33), 2000
Proceedings of the 2000 International Conference on Compilers, 2000
Proceedings of the 12th IEEE International Conference on Application-Specific Systems, 2000
1999
J. VLSI Signal Process., 1999
IEEE Trans. Computers, 1999
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999
Proceedings of the 14th IEEE Symposium on Computer Arithmetic (Arith-14 '99), 1999
1998
Proceedings of the Developments in Reliable Computing, 1998
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998
1997
Proceedings of the 1997 International Conference on Application-Specific Systems, 1997
Proceedings of the 13th Symposium on Computer Arithmetic (ARITH-13 '97), 1997
1996
Hardware interval multipliers.
RITA, 1996
1995
Reliab. Comput., 1995
J. Univers. Comput. Sci., 1995
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995
Proceedings of the International Conference on Application Specific Array Processors (ASAP'95), 1995
Hardware Design and Arithmetic Algorithms for a Variable-Precision, Interval Arithmetic Coprocessor.
Proceedings of the 12th Symposium on Computer Arithmetic (ARITH-12 '95), 1995
Proceedings of the 12th Symposium on Computer Arithmetic (ARITH-12 '95), 1995
1994
IEEE Trans. Computers, 1994
Computing, 1994
Proceedings of the International Conference on Application Specific Array Processors, 1994
1993
Proceedings of the International Conference on Application-Specific Array Processors, 1993
Proceedings of the 11th Symposium on Computer Arithmetic, 29 June, 1993