Michael C. Huang
Orcid: 0000-0001-9799-2920Affiliations:
- University of Rochester, NY, USA
According to our database1,
Michael C. Huang
authored at least 95 papers
between 2000 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Proceedings of the 57th IEEE/ACM International Symposium on Microarchitecture, 2024
DS-GL: Advancing Graph Learning via Harnessing Nature's Power within Scalable Dynamical Systems.
Proceedings of the 51st ACM/IEEE Annual International Symposium on Computer Architecture, 2024
Proceedings of the Twelfth International Conference on Learning Representations, 2024
2023
Proceedings of the 26th International Conference on Theory and Applications of Satisfiability Testing, 2023
Supporting Energy-based Learning with an Ising Machine substrate: a Case Study on RBM.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
Ising-CF: A Pathbreaking Collaborative Filtering Method Through Efficient Ising Machine Learning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Ising-Traffic: Using Ising Machine Learning to Predict Traffic Congestion under Uncertainty.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023
2022
Proceedings of the IEEE International Conference on Networking, Architecture and Storage, 2022
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022
QuBRIM: A CMOS Compatible Resistively-Coupled Ising Machine with Quantized Nodal Interactions.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
2021
IEEE Trans. Computers, 2021
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
2020
2019
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019
R3-DLA (Reduce, Reuse, Recycle): A More Efficient Approach to Decoupled Look-Ahead Architectures.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019
2018
ACM Trans. Comput. Syst., 2018
ACM Trans. Archit. Code Optim., 2018
IEEE Comput. Archit. Lett., 2018
IEEE Access, 2018
High Swing Pulse-Amplitude Modulation of Transmission Line Links for On-Chip Communication.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
DRUT: An Efficient Turbo Boost Solution via Load Balancing in Decoupled Look-Ahead Architecture.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017
2016
Building Expressive and Area-Efficient Directories with Hybrid Representation and Adaptive Multi-Granular Tracking.
IEEE Trans. Computers, 2016
Thread-Aware Adaptive Prefetcher on Multicore Systems: Improving the Performance for Multithreaded Workloads.
ACM Trans. Archit. Code Optim., 2016
Microelectron. J., 2016
IEEE Comput. Archit. Lett., 2016
Proceedings of the 2016 ACM SIGPLAN International Symposium on Memory Management, Santa Barbara, CA, USA, June 14, 2016
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
2015
Exploiting Transmission Lines on Heterogeneous Networks-on-Chip to Improve the Adaptivity and Efficiency of Cache Coherence.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015
2014
J. Comput. Sci. Technol., 2014
Accelerating decoupled look-ahead via weak dependence removal: A metaheuristic approach.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
Proceedings of the International Conference on Parallel Architectures and Compilation, 2014
2013
J. Comput. Sci. Technol., 2013
Proceedings of the ACM SIGPLAN Workshop on Memory Systems Performance and Correctness, 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, 2013
2012
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012
2011
Particle-in-cell simulations with charge-conserving current deposition on graphic processing units.
J. Comput. Phys., 2011
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011
Proceedings of the Network and Parallel Computing - 8th IFIP International Conference, 2011
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
2010
Proceedings of the 2010 USENIX Annual Technical Conference, 2010
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
2009
IEEE Trans. Computers, 2009
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009
Proceedings of the PACT 2009, 2009
2008
Injection-Locked Clocking: A Low-Power Clock Distribution Scheme for High-Performance Microprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2008
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
Proceedings of the 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 2008
Proceedings of the Euro-Par 2008, 2008
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008
2007
Proceedings of the 2007 USENIX Annual Technical Conference, 2007
2006
J. Low Power Electron., 2006
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006
Substituting associative load queue with simple hash tables in out-of-order microprocessors.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006
Slackened Memory Dependence Enforcement: Combining Opportunistic Forwarding with Decoupled Verification.
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006
2005
Proceedings of the Integrated Circuit and System Design, 2005
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005
Load-Store Queue Management: an Energy-Efficient Design Based on a State-Filtering Mechanism..
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Exploiting Coarse-Grain Verification Parallelism for Power-Efficient Fault Tolerance.
Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 2005
2004
Dynamically reducing pressure on the physical register file through simple register sharing.
Proceedings of the 2004 IEEE International Symposium on Performance Analysis of Systems and Software, 2004
Proceedings of the 18th Annual International Conference on Supercomputing, 2004
Proceedings of the 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 2004
2003
IEEE Micro, 2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003
2002
PhD thesis, 2002
Proceedings of the 35th Annual International Symposium on Microarchitecture, 2002
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002
2001
The Design of DEETM: a Framework for Dynamic Energy Efficiency and Temperature Management.
J. Instr. Level Parallelism, 2001
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001
2000
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000
Proceedings of the Intelligent Memory Systems, Second International Workshop, 2000