Mehdi Baradaran Tahoori
Orcid: 0000-0002-8829-5610Affiliations:
- Karlsruhe Institute of Technology, Germany
According to our database1,
Mehdi Baradaran Tahoori
authored at least 448 papers
between 2002 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
IEEE Des. Test, December, 2024
IEEE Trans. Circuits Syst. I Regul. Pap., October, 2024
One-Shot Online Testing of Deep Neural Networks Based on Distribution Shift Detection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2024
Improved Arithmetic Performance by Combining Stateful and Non-Stateful Logic in Resistive Random Access Memory 1T-1R Crossbars.
Adv. Intell. Syst., March, 2024
Design-time Reference Current Generation for Robust Spintronic-based Neuromorphic Architecture.
ACM J. Emerg. Technol. Comput. Syst., January, 2024
CoRR, 2024
CoRR, 2024
Few-Shot Testing: Estimating Uncertainty of Memristive Deep Neural Networks Using One Bayesian Test Vector.
CoRR, 2024
Tiny Deep Ensemble: Uncertainty Estimation in Edge AI Accelerators via Ensembling Normalization Layers with Shared Weights.
CoRR, 2024
CoRR, 2024
Addressing the Combined Effect of Transistor and Interconnect Aging in SRAM towards Silicon Lifecycle Management.
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
Reliability analysis and mitigation for analog computation-in-memory: from technology to application.
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
NN-ECC: Embedding Error Correction Codes in Neural Network Weight Memories using Multi-task Learning.
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
Proceedings of the 30th IEEE International Symposium on On-Line Testing and Robust System Design, 2024
Proceedings of the 30th IEEE International Symposium on On-Line Testing and Robust System Design, 2024
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2024
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2024
E<sup>3</sup>HDC: Energy Efficient Encoding for Hyper-Dimensional Computing on Edge Devices.
Proceedings of the 34th International Conference on Field-Programmable Logic and Applications, 2024
Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024
Proceedings of the IEEE European Test Symposium, 2024
Proceedings of the IEEE European Test Symposium, 2024
Proceedings of the IEEE European Test Symposium, 2024
Proceedings of the IEEE European Test Symposium, 2024
Proceedings of the IEEE European Test Symposium, 2024
OTFGEncoder - HDC: Hardware-efficient Encoding Techniques for Hyperdimensional Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Out-of-Distribution Detection Using Power-Side Channels for Improving Functional Safety of Neural Network FPGA Accelerators.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
In-Field Detection of Small Delay Defects and Runtime Degradation Using On-Chip Sensors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
DropHD: Technology/Algorithm Co-Design for Reliable Energy-Efficient NVM-Based Hyper-Dimensional Computing Under Voltage Scaling.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
On-Sensor Printed Machine Learning Classification via Bespoke ADC and Decision Tree Co-Design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
NeuSpin: Design of a Reliable Edge Neuromorphic System Based on Spintronics for Green AI.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Enhancing Reliability of Neural Networks at the Edge: Inverted Normalization with Stochastic Affine Transformations.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Embedding Hardware Approximations in Discrete Genetic-Based Training for Printed MLPs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
Power Side-Channel Analysis and Mitigation for Neural Network Accelerators based on Memristive Crossbars.
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
2023
Proc. IEEE, December, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023
SpinBayes: Algorithm-Hardware Co-Design for Uncertainty Estimation Using Bayesian In-Memory Approximation on Spintronic-Based Architectures.
ACM Trans. Embed. Comput. Syst., October, 2023
IEEE Des. Test, October, 2023
Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits.
IEEE Trans. Computers, September, 2023
Fault-Tolerant Neuromorphic Computing With Memristors Using Functional ATPG for Efficient Recalibration.
IEEE Des. Test, August, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023
NeuroScrub+: Mitigating Retention Faults Using Flexible Approximate Scrubbing in Neuromorphic Fabric Based on Resistive Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023
Timing-accurate simulation framework for NVM-based compute-in-memory architecture exploration.
it Inf. Technol., May, 2023
SpinDrop: Dropout-Based Bayesian Binary Neural Networks With Spintronic Implementation.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2023
Stealthy Logic Misuse for Power Analysis Attacks in Multi-Tenant FPGAs (Extended Version).
IACR Cryptol. ePrint Arch., 2023
Scale-Dropout: Estimating Uncertainty in Deep Neural Networks Using Stochastic Scale.
CoRR, 2023
Spatial-SpinDrop: Spatial Dropout-based Binary Bayesian Neural Network with Spintronics Implementation.
CoRR, 2023
A Low Overhead Checksum Technique for Error Correction in Memristive Crossbar for Deep Learning Applications.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023
Towards Temporal Information Processing - Printed Neuromorphic Circuits with Learnable Filters.
Proceedings of the 18th ACM International Symposium on Nanoscale Architectures, 2023
Proceedings of the IEEE International Test Conference, 2023
Emerging Interconnect Exploration for SRAM Application Using Nonconventional H-Tree and Center-Pin Access.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023
A Learning-Based Approach for Single Event Transient Analysis in Pass Transistor Logic.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Bespoke Approximation of Multiplication-Accumulation and Activation Targeting Printed Multilayer Perceptrons.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023
Power2Picture: Using Generative CNNs for Input Recovery of Neural Network Accelerators through Power Side-Channels on FPGAs.
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023
Power Side-Channel Attacks and Countermeasures on Computation-in-Memory Architectures and Technologies.
Proceedings of the IEEE European Test Symposium, 2023
Proceedings of the IEEE European Test Symposium, 2023
Online Fault-Tolerance for Memristive Neuromorphic Fabric Based on Local Approximation.
Proceedings of the IEEE European Test Symposium, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Electromigration-aware design technology co-optimization for SRAM in advanced technology nodes.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the 2023 on Cloud Computing Security Workshop, 2023
Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications.
Proceedings of the International Conference on Compilers, 2023
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
2022
Fast and Efficient High-Sigma Yield Analysis and Optimization Using Kernel Density Estimation on a Bayesian Optimized Failure Rate Model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Time-Dependent Electromigration Modeling for Workload-Aware Design-Space Exploration in STT-MRAM.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Artificial Neurons on Flexible Substrates: A Fully Printed Approach for Neuromorphic Sensing.
Sensors, 2022
Counterfeit Detection and Prevention in Additive Manufacturing Based on Unique Identification of Optical Fingerprints of Printed Structures.
IEEE Access, 2022
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Fault-tolerant Neuromorphic Computing with Functional ATPG for Post-manufacturing Re-calibration.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Binary Bayesian Neural Networks for Efficient Uncertainty Estimation Leveraging Inherent Stochasticity of Spintronic Devices.
Proceedings of the 17th ACM International Symposium on Nanoscale Architectures, 2022
Proceedings of the IEEE International Test Conference, 2022
An Efficient Test Strategy for Detection of Electromigration Impact in Advanced FinFET Memories.
Proceedings of the IEEE International Test Conference, 2022
Compact Functional Test Generation for Memristive Deep Learning Implementations using Approximate Gradient Ranking.
Proceedings of the IEEE International Test Conference, 2022
Proceedings of the IEEE International Test Conference in Asia, 2022
Approximate Decision Trees For Machine Learning Classification on Tiny Printed Circuits.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Breaking an FPGA-Integrated NIST SP 800-193 Compliant TRNG Hard-IP Core with On-Chip Voltage-Based Fault Attacks.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022
Proceedings of the 30th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2022
Machine learning based soft error rate estimation of pass transistor logic in high-speed communication.
Proceedings of the IEEE European Test Symposium, 2022
A Data-driven Approach for Fault Detection in the Alternator Unit of Automotive Systems.
Proceedings of the IEEE European Test Symposium, 2022
Proceedings of the IEEE European Test Symposium, 2022
Proceedings of the IEEE European Test Symposium, 2022
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
2021
Defect Detection in Transparent Printed Electronics Using Learning-Based Optical Inspection.
IEEE Trans. Very Large Scale Integr. Syst., 2021
ACM Trans. Design Autom. Electr. Syst., 2021
Approximate Learning and Fault-Tolerant Mapping for Energy-Efficient Neuromorphic Systems.
ACM Trans. Design Autom. Electr. Syst., 2021
Proc. IEEE, 2021
Is your secure test infrastructure secure enough? : Attacks based on delay test patterns using transient behavior analysis.
Proceedings of the IEEE International Test Conference, 2021
Proceedings of the IEEE International Test Conference, 2021
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021
LoopBreaker: Disabling Interconnects to Mitigate Voltage-Based Attacks in Multi-Tenant FPGAs.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the 26th IEEE European Test Symposium, 2021
NeuroScrub: Mitigating Retention Failures Using Approximate Scrubbing in Neuromorphic Fabric Based on Resistive Memories.
Proceedings of the 26th IEEE European Test Symposium, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
TRIGON: A Single-phase-clocking Low Power Hardened Flip-Flop with Tolerance to Double-Node-Upset for Harsh Environments Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
2020
Achieving Energy Efficiency for Near-Threshold Circuits Through Postfabrication Calibration and Adaptation.
IEEE Trans. Very Large Scale Integr. Syst., 2020
IEEE Trans. Very Large Scale Integr. Syst., 2020
A Printed Camouflaged Cell Against Reverse Engineering of Printed Electronics Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2020
A Compact Low-Voltage True Random Number Generator Based on Inkjet Printing Technology.
IEEE Trans. Very Large Scale Integr. Syst., 2020
IEEE Trans. Very Large Scale Integr. Syst., 2020
Runtime Identification of Hardware Trojans by Feature Analysis on Gate-Level Unstructured Data and Anomaly Detection.
ACM Trans. Design Autom. Electr. Syst., 2020
Reverse Engineering of Printed Electronics Circuits: From Imaging to Netlist Extraction.
IEEE Trans. Inf. Forensics Secur., 2020
CPAmap: On the Complexity of Secure FPGA Virtualization, Multi-Tenancy, and Physical Design.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2020
A Robust Hardened Latch Featuring Tolerance to Double-Node-Upset in 28nm CMOS for Spaceborne Application.
IEEE Trans. Circuits Syst. II Express Briefs, 2020
Bayesian Optimized Mixture Importance Sampling for High-Sigma Failure Rate Estimation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
ACM J. Emerg. Technol. Comput. Syst., 2020
Fabrication, Characterization and Simulation of Sputtered Pt/In-Ga-Zn-O Schottky Diodes for Low-Frequency Half-Wave Rectifier Circuits.
IEEE Access, 2020
Proceedings of the 38th IEEE VLSI Test Symposium, 2020
Special Session - Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
Physics based modeling of bimodal electromigration failure distributions and variation analysis for VLSI interconnects.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020
Proceedings of the IEEE European Test Symposium, 2020
Proceedings of the IEEE European Test Symposium, 2020
Hardware-Intrinsic Security with Printed Electronics for Identification of IoE Devices.
Proceedings of the European Conference on Circuit Theory and Design, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Fast and Accurate High-Sigma Failure Rate Estimation through Extended Bayesian Optimized Importance Sampling.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Exploring a Bayesian Optimization Framework Compatible with Digital Standard Flow for Soft-Error-Tolerant Circuit.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
Tolerating Retention Failures in Neuromorphic Fabric based on Emerging Resistive Memories.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
2019
Design and Evaluation of a Printed Analog-Based Differential Physical Unclonable Function.
IEEE Trans. Very Large Scale Integr. Syst., 2019
Fast and Reliable STT-MRAM Using Nonuniform and Adaptive Error Detecting and Correcting Scheme.
IEEE Trans. Very Large Scale Integr. Syst., 2019
A Comprehensive Framework for Parametric Failure Modeling and Yield Analysis of STT-MRAM.
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
ACM Trans. Reconfigurable Technol. Syst., 2019
IEEE Trans. Reliab., 2019
Compiler-Assisted and Profiling-Based Analysis for Fast and Efficient STT-MRAM On-Chip Cache Design.
ACM Trans. Design Autom. Electr. Syst., 2019
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
A Comprehensive Reliability Analysis Framework for NTC Caches: A System to Device Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
Guest Editorial: IEEE Transactions on Computers Special Section on Emerging Non-Volatile Memory Technologies: From Devices to Architectures and Systems.
IEEE Trans. Computers, 2019
IACR Cryptol. ePrint Arch., 2019
IACR Cryptol. ePrint Arch., 2019
Image PUF: A Physical Unclonable Function for Printed Electronics based on Optical Variation of Printed Inks.
IACR Cryptol. ePrint Arch., 2019
Proceedings of the IEEE International Test Conference, 2019
Proceedings of the IEEE International Test Conference, 2019
Variation-Aware Physics-Based Electromigration Modeling and Experimental Calibration for VLSI Interconnects.
Proceedings of the IEEE International Reliability Physics Symposium, 2019
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
2018
Recovery-Aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
Inkjet-Printed EGFET-Based Physical Unclonable Function - Design, Evaluation, and Fabrication.
IEEE Trans. Very Large Scale Integr. Syst., 2018
Multicast Testing of Interposer-Based 2.5D ICs: Test-Architecture Design and Test Scheduling.
ACM Trans. Design Autom. Electr. Syst., 2018
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Fine-Grained Aging-Induced Delay Prediction Based on the Monitoring of Run-Time Stress.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IACR Cryptol. ePrint Arch., 2018
Proceedings of the 15th International Conference on Synthesis, 2018
Proceedings of the IEEE International Test Conference, 2018
Defect injection, Fault Modeling and Test Algorithm Generation Methodology for STT-MRAM.
Proceedings of the IEEE International Test Conference, 2018
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018
Design and evaluation of physical unclonable function for inorganic printed electronics.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018
Reliability And Performance Challenges Of Ultra-Low Voltage Caches: A Trade-Off Analysis.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018
Proceedings of the International Conference on Field-Programmable Technology, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Process variation and temperature aware adaptive scrubbing for retention failures in STT-MRAM.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
From silicon to printed electronics: A coherent modeling and design flow approach based on printed electrolyte gated FETs.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
Balancing resiliency and energy efficiency of functional units in ultra-low power systems.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
Temperature-Aware Dynamic Voltage Scaling to Improve Energy Efficiency of Near-Threshold Computing.
IEEE Trans. Very Large Scale Integr. Syst., 2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
Microprocess. Microsystems, 2017
Integr., 2017
Proceedings of the 35th IEEE VLSI Test Symposium, 2017
Proceedings of the IEEE International Test Conference, 2017
GREAT: HeteroGeneous IntegRated Magnetic tEchnology Using Multifunctional Standardized sTack.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017
Leveraging recovery effect to reduce electromigration degradation in power/ground TSV.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017
Proceedings of the 22nd IEEE European Test Symposium, 2017
Proceedings of the 22nd IEEE European Test Symposium, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 26th IEEE Asian Test Symposium, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
ACM Trans. Design Autom. Electr. Syst., 2016
Ultra-Fast and High-Reliability SOT-MRAM: From Cache Replacement to Normally-Off Computing.
IEEE Trans. Multi Scale Comput. Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Proceedings of the 34th IEEE VLSI Test Symposium, 2016
Proceedings of the 34th IEEE VLSI Test Symposium, 2016
Fault tolerant approximate computing using emerging non-volatile spintronic memories.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016
Proceedings of the 26th International Workshop on Power and Timing Modeling, 2016
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016
Normally-OFF STT-MRAM Cache with Zero-Byte Compression for Energy Efficient Last-Level Caches.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016
Maximizing Energy Efficiency in NTC by Variation-Aware Microprocessor Pipeline Optimization.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016
Revisiting software-based soft error mitigation techniques via accurate error generation and propagation models.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016
A cross-layer approach for resiliency and energy efficiency in near threshold computing.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016
Low-Power Multi-Port Memory Architecture based on Spin Orbit Torque Magnetic Devices.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016
Proceedings of the 2016 International Conference on Field-Programmable Technology, 2016
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
System-level reliability evaluation through cache-aware software-based fault injection.
Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Cross-Layer Approaches for an Aging-Aware Design Space Exploration for Microprocessors.
Proceedings of the Workshop on Early Reliability Modeling for Aging and Variability in Silicon Systems, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
A cross-layer analysis of Soft Error, aging and process variation in Near Threshold Computing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016
Proceedings of the 25th IEEE Asian Test Symposium, 2016
Proceedings of the 25th IEEE Asian Test Symposium, 2016
Non-Volatile Non-Shadow flip-flop using Spin Orbit Torque for efficient normally-off computing.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
2015
ACM Trans. Design Autom. Electr. Syst., 2015
Aging- and Variation-Aware Delay Monitoring Using Representative Critical Path Selection.
ACM Trans. Design Autom. Electr. Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Comprehensive Analysis of Sequential and Combinational Soft Errors in an Embedded Processor.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
it Inf. Technol., 2015
Formal Quantification of the Register Vulnerabilities to Soft Error in RTL Control Paths.
J. Electron. Test., 2015
IEEE Des. Test, 2015
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
Cross-layer approaches for an aging-aware design of nanoscale microprocessors: Dissertation summary: IEEE TTTC E.J. McCluskey doctoral thesis award competition finalist.
Proceedings of the 2015 IEEE International Test Conference, 2015
Proceedings of the 2015 IEEE International Test Conference, 2015
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015
Proceedings of the 2015 International Conference on IC Design & Technology, 2015
Improving reliability, performance, and energy efficiency of STT-MRAM with dynamic write latency.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Fine-Grained Aging Prediction Based on the Monitoring of Run-Time Stress Using DfT Infrastructure.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Proceedings of the 20th IEEE European Test Symposium, 2015
Proceedings of the 20th IEEE European Test Symposium, 2015
Proceedings of the 20th IEEE European Test Symposium, 2015
Proceedings of the 20th IEEE European Test Symposium, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
Event-driven transient error propagation: A scalable and accurate soft error rate estimation approach.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
2014
ACM Trans. Embed. Comput. Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Resilience Articulation Point (RAP): Cross-layer dependability modeling for nanometer system-on-chip resilience.
Microelectron. Reliab., 2014
ACM J. Emerg. Technol. Comput. Syst., 2014
ACM J. Emerg. Technol. Comput. Syst., 2014
CoRR, 2014
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014
Proceedings of the 2014 27th International Conference on VLSI Design, 2014
Emerging Non-Volatile Memory technologies for future low power reconfigurable systems.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014
Proceedings of the 2014 International Test Conference, 2014
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 19th IEEE European Test Symposium, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Comprehensive analysis of alpha and neutron particle-induced soft errors in an embedded processor at nanoscales.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Radiation-Induced Soft Error Analysis of SRAMs in SOI FinFET Technology: A Device to Circuit Approach.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 23rd IEEE Asian Test Symposium, 2014
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Negative Bias Temperature Instability-Aware Instruction Scheduling: A Cross-Layer Approach.
J. Low Power Electron., 2013
ILP formulations for variation/defect-tolerant logic mapping on crossbar nano-architectures.
ACM J. Emerg. Technol. Comput. Syst., 2013
Design and Analysis of a Robust Carbon Nanotube-Based Asynchronous Primitive Circuit.
ACM J. Emerg. Technol. Comput. Syst., 2013
J. Electron. Test., 2013
Proceedings of the 31st IEEE VLSI Test Symposium, 2013
Proceedings of the 2013 IEEE International Test Conference, 2013
Proceedings of the International Symposium on Quality Electronic Design, 2013
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Degradation in FPGAs: Monitoring, modeling and mitigation (PHD forum paper: Thesis broad overview).
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013
A layout-aware x-filling approach for dynamic power supply noise reduction in at-speed scan testing.
Proceedings of the 18th IEEE European Test Symposium, 2013
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Incorporating the impacts of workload-dependent runtime variations into timing analysis.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Statistical analysis of BTI in the presence of process-induced voltage and temperature variations.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
2012
Microelectron. Reliab., 2012
Proceedings of the 30th IEEE VLSI Test Symposium, 2012
Proceedings of the 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2012
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012
Investigation of aging effects in different implementations and structures of programmable routing resources of FPGAs.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Online detection and recovery of transient errors in front-end structures of microprocessors.
Proceedings of the 17th IEEE European Test Symposium, 2012
Reducing wearout in embedded processors using proactive fine-grain dynamic runtime adaptation.
Proceedings of the 17th IEEE European Test Symposium, 2012
ExtraTime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level.
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Layout-Driven Robustness Analysis for misaligned Carbon Nanotubes in CNTFET-based standard cells.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
2011
IEEE Trans. Very Large Scale Integr. Syst., 2011
Numerical Defect Correction as an Algorithm-Based Fault Tolerance Technique for Iterative Solvers.
Proceedings of the 17th IEEE Pacific Rim International Symposium on Dependable Computing, 2011
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011
Proceedings of the 16th European Test Symposium, 2011
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011
Soft error rate estimation of digital circuits in the presence of Multiple Event Transients (METs).
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011
2010
Nano Commun. Networks, 2010
Microelectron. Reliab., 2010
Microelectron. J., 2010
Proceedings of the 28th IEEE VLSI Test Symposium, 2010
Proceedings of the 2011 IEEE International Test Conference, 2010
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010
Proceedings of the 15th European Test Symposium, 2010
Proceedings of the 15th European Test Symposium, 2010
A Fast Analytical Approach to Multi-cycle Soft Error Rate Estimation of Sequential Circuits.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
Microelectron. Reliab., 2009
ACM J. Emerg. Technol. Comput. Syst., 2009
Online detection of multiple faults in crossbar nano-architectures using dual rail implementations.
Proceedings of the 2009 IEEE/ACM International Symposium on Nanoscale Architectures, 2009
Proceedings of the 27th International Conference on Computer Design, 2009
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009
2008
A Field Analysis of System-level Effects of Soft Errors Occurring in Microprocessors used in Information Systems.
Proceedings of the 2008 IEEE International Test Conference, 2008
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008
2007
Analytical Techniques for Soft Error Rate Modeling and Mitigation of FPGA-Based Designs.
IEEE Trans. Very Large Scale Integr. Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007
2006
IEEE Trans. Very Large Scale Integr. Syst., 2006
IEEE Trans. Dependable Secur. Comput., 2006
ACM J. Emerg. Technol. Comput. Syst., 2006
Proceedings of the 2006 IEEE International Test Conference, 2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
Characterization, test, and logic synthesis of and-or-inverter (AOI) gate design for QCA implementation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
Int. J. Embed. Syst., 2005
IEEE Des. Test Comput., 2005
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005
Delay Test Generation with All Reachable Output Propagation and Multiple Excitations.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005
Proceedings of the 2005 Design, 2005
2004
Techniques and algorithms for fault grading of FPGA interconnect test configurations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
2003
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2003
A high resolution diagnosis technique for open and short defects in FPGA interconnects.
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2003
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003
Proceedings of the 40th Design Automation Conference, 2003
2002
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002