Masamitsu Tanaka
Orcid: 0000-0001-8577-3819Affiliations:
- Nagoya University, Japan
According to our database1,
Masamitsu Tanaka
authored at least 33 papers
between 2008 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
CoRR, 2024
IEEE Comput. Archit. Lett., 2024
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2024
Proceedings of the 57th IEEE/ACM International Symposium on Microarchitecture, 2024
Late Breaking Results: Single Flux Quantum Based Brownian Circuits for Ultra-Law-Power Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
2023
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
High-Frequency Operation of Low-Power Single-Flux-Quantum Circuits Fabricated Using 250 A/cm<sup>2</sup> Nb/AlOx/Nb Planarization Process.
Proceedings of the International Conference on IC Design and Technology, 2023
2022
CoRR, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
XQsim: modeling cross-technology control processors for 10+K qubit quantum computers.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
2021
Planarized Nb 4-Layer Fabrication Process for Superconducting Integrated Circuits and Its Fabricated Device Evaluation.
IEICE Trans. Electron., 2021
QECOOL: On-Line Quantum Error Correction with a Superconducting Decoder for Surface Code.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
2020
32 GHz 6.5 mW Gate-Level-Pipelined 4-Bit Processor using Superconductor Single-Flux-Quantum Logic.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020
SuperNPU: An Extremely Fast Neural Processing Unit Using Superconducting Logic Devices.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020
2019
Proceedings of the IEEE International Solid- State Circuits Conference, 2019
2018
IEICE Trans. Electron., 2018
Energy/Space-Efficient Rapid Single-Flux-Quantum Circuits by Using <i>π</i>-Shifted Josephson Junctions.
IEICE Trans. Electron., 2018
IEICE Trans. Electron., 2018
2016
High-Throughput Rapid Single-Flux-Quantum Circuit Implementations for Exponential and Logarithm Computation Using the Radix-2 Signed-Digit Representation.
IEICE Trans. Electron., 2016
Development of an Advanced Circuit Model for Superconducting Strip Line Detector Arrays.
IEICE Trans. Electron., 2016
Proceedings of the International SoC Design Conference, 2016
2014
High-Speed Operation of 0.25-mV RSFQ Arithmetic Logic Unit Based on 10-kA/cm<sup>2</sup> Nb Process Technology.
IEICE Trans. Electron., 2014
Large-Scale Integrated Circuit Design Based on a Nb Nine-Layer Structure for Reconfigurable Data-Path Processors.
IEICE Trans. Electron., 2014
2011
IEICE Trans. Electron., 2011
2010
100 GHz Demonstrations Based on the Single-Flux-Quantum Cell Library for the 10 kA/cm<sup>2</sup> Nb Multi-Layer Process.
IEICE Trans. Electron., 2010
Automated Passive-Transmission-Line Routing Tool for Single-Flux-Quantum Circuits Based on A* Algorithm.
IEICE Trans. Electron., 2010
2009
IEICE Electron. Express, 2009
2008