Mary Jane Irwin
Affiliations:- Penn State, University Park, USA
According to our database1,
Mary Jane Irwin
authored at least 357 papers
between 1977 and 2016.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 1996, "For contributions to computer arithmetic, digital signal processing architectures, and electronic CAD and outstanding service to ACM/SIG activities.".
IEEE Fellow
IEEE Fellow 1994, "For contributions to computer arithmetic and digital signal processing architectures.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on id.loc.gov
-
on cse.psu.edu
-
on dl.acm.org
On csauthors.net:
Bibliography
2016
Designs of emerging memory based non-volatile TCAM for Internet-of-Things (IoT) and big-data processing: A 5T2R universal cell.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
LAP: Loop-Block Aware Inclusion Properties for Energy-Efficient Asymmetric Last Level Caches.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
2015
Adaptive Burst-Writes (ABW): Memory Requests Scheduling to Reduce Write-Induced Interference.
ACM Trans. Design Autom. Electr. Syst., 2015
EECache: A Comprehensive Study on the Architectural Design for Energy-Efficient Last-Level Caches in Chip Multiprocessors.
ACM Trans. Archit. Code Optim., 2015
Platform-aware dynamic configuration support for efficient text processing on heterogeneous system.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
TaPEr: tackling power emergencies in the dark silicon era by exploiting resource scalability.
Proceedings of the 12th ACM International Conference on Computing Frontiers, 2015
2014
EECache: exploiting design choices in energy-efficient last-level caches for chip multiprocessors.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
2013
Design of energy-efficient circuits and systems using tunnel field effect transistors.
IET Circuits Devices Syst., 2013
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, 2013
2012
Proceedings of the 8th International Conference on Virtual Execution Environments, 2012
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
ACM Trans. Sens. Networks, 2011
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
2010
J. Signal Process. Syst., 2010
IEEE Trans. Dependable Secur. Comput., 2010
IET Comput. Digit. Tech., 2010
Proceedings of the 2010 ACM SIGPLAN Conference on Programming Language Design and Implementation, 2010
Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, 2010
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
T-NUCA - a novel approach to non-uniform access latency cache architectures for 3D CMPs.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
Compiler-assisted soft error detection under performance and energy constraints in embedded systems.
ACM Trans. Embed. Comput. Syst., 2009
IEEE Trans. Dependable Secur. Comput., 2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
IEEE Trans. Computers, 2009
J. Parallel Distributed Comput., 2009
Power and area reduction using carbon nanotube bundle interconnect in global clock tree distribution network.
Proceedings of the 2009 IEEE/ACM International Symposium on Nanoscale Architectures, 2009
Proceedings of the High Performance Embedded Architectures and Compilers, 2009
Adapting Application Mapping to Systematic Within-Die Process Variations on Chip Multiprocessors.
Proceedings of the High Performance Embedded Architectures and Compilers, 2009
Proceedings of the IEEE International Conference on 3D System Integration, 2009
2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
Implementation and evaluation of a migration-based NUCA design for chip multiprocessors.
Proceedings of the 2008 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2008
Proceedings of the ACM/IEEE Conference on High Performance Computing, 2008
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008
Evaluating the role of scratchpad memories in chip multiprocessors for sparse matrix computations.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
A helper thread based EDP reduction scheme for adapting application execution in CMPs.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the 26th International Conference on Computer Design, 2008
Integrated code and data placement in two-dimensional mesh based chip multiprocessors.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008
Proceedings of the 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2008
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, 2008
2007
Microprocess. Microsystems, 2007
IET Comput. Digit. Tech., 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007
2006
IEEE Trans. Signal Process., 2006
ACM Trans. Embed. Comput. Syst., 2006
ACM Trans. Embed. Comput. Syst., 2006
An efficient architecture for motion estimation and compensation in the transform domain.
IEEE Trans. Circuits Syst. Video Technol., 2006
Inverse discrete cosine transform architecture exploiting sparseness and symmetry properties.
IEEE Trans. Circuits Syst. Video Technol., 2006
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Poster reception - Toward a power efficient computer architecture for Barnes-Hut N-body simulations.
Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, 2006
Poster reception - Energy/performance modeling for collective communication in 3-D torus cluster networks.
Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, 2006
Proceedings of the ACM SIGPLAN 2006 Conference on Programming Language Design and Implementation, 2006
Proceedings of the 2006 ACM SIGPLAN/SIGBED Conference on Languages, 2006
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 12th International Conference on Parallel and Distributed Systems, 2006
Proceedings of the Computational Science, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
ACM Trans. Embed. Comput. Syst., 2005
ACM Trans. Embed. Comput. Syst., 2005
IEEE Trans. Computers, 2005
J. Parallel Distributed Comput., 2005
Int. J. Embed. Syst., 2005
IEEE Des. Test Comput., 2005
Adv. Comput., 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Exploiting frequent field values in java objects for reducing heap memory requirements.
Proceedings of the 1st International Conference on Virtual Execution Environments, 2005
On-Chip Memory Management for Embedded MpSoC Architectures Based on Data Compression.
Proceedings of the Proceedings 2005 IEEE International SOC Conference, 2005
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005
Adaptive Software for Scientific Computing: Co-Managing Quality-Performance-Power Tradeoffs.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Increasing on-chip memory space utilization for embedded chip multiprocessors through data compression.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
Proceedings of the 2005 International Conference on Compilers, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
Studying Energy Trade Offs in Offloading Computation/Compilation in Java-Enabled Mobile Devices.
IEEE Trans. Parallel Distributed Syst., 2004
A compiler-based approach for dynamically managing scratch-pad memories in embedded systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
ACM Trans. Archit. Code Optim., 2004
Des. Autom. Embed. Syst., 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004
Proceedings of the 2004 ACM SIGPLAN/SIGBED Conference on Languages, 2004
Proceedings of the 4th International Symposium on Memory Management, 2004
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Efficient VLSI implementation of inverse discrete cosine transform [image coding applications].
Proceedings of the 2004 IEEE International Conference on Acoustics, 2004
Proceedings of the 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 2004
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004
Proceedings of the Field Programmable Logic and Application, 2004
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004
Proceedings of the Euro-Par 2004 Parallel Processing, 2004
Proceedings of the Euro-Par 2004 Parallel Processing, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004
Proceedings of the Ultra Low-Power Electronics and Design, 2004
2003
ACM Trans. Embed. Comput. Syst., 2003
Evaluating Integrated Hardware-Software Optimizations Using a Unified Energy Estimation Framework.
IEEE Trans. Computers, 2003
Power-Aware Designers at Odds with Power Grid Designers?
IEEE Des. Test Comput., 2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
Proceedings of the VLSI-SOC: From Systems to Chips, 2003
Proceedings of the 2003 ACM SIGPLAN Conference on Object-Oriented Programming Systems, 2003
Proceedings of the 2003 Conference on Languages, 2003
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003
Interplay of energy and performance for disk arrays running transaction processing workloads.
Proceedings of the 2003 IEEE International Symposium on Performance Analysis of Systems and Software, 2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Exploiting program hotspots and code sequentiality for instruction cache leakage management.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Energy and Performance Considerations in Work Partitioning for Mobile Spatial Queries.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
Computation and transmission energy modeling through profiling for MPEG4 video transmission.
Proceedings of the 2003 IEEE International Conference on Multimedia and Expo, 2003
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
CCC: Crossbar Connected Caches for Reducing Energy Consumption of On-Chip Multiprocessors.
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 40th Design Automation Conference, 2003
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003
Proceedings of the Compiler Construction, 12th International Conference, 2003
Proceedings of the International Conference on Compilers, 2003
Proceedings of the International Conference on Compilers, 2003
2002
VLDB J., 2002
A clock power model to evaluate impact of architectural and technology optimizations.
IEEE Trans. Very Large Scale Integr. Syst., 2002
Tuning garbage collection for reducing memory system energy in an embedded java environment.
ACM Trans. Embed. Comput. Syst., 2002
J. Circuits Syst. Comput., 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the 35th Annual International Symposium on Microarchitecture, 2002
Proceedings of the 2002 Joint Conference on Languages, 2002
Proceedings of the 2002 Joint Conference on Languages, 2002
Proceedings of the 2nd Java Virtual Machine Research and Technology Symposium, 2002
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002
Power efficient adaptive M-QAM design using adaptive pipelined analog-to-digital converter.
Proceedings of the IEEE International Conference on Acoustics, 2002
Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach.
Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002
Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 39th Design Automation Conference, 2002
Proceedings of the Tenth International Symposium on Hardware/Software Codesign, 2002
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 2002
2001
Investigating Memory System Energy Behavior Using Software and Hardware Optimizations.
VLSI Design, 2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
ACM Trans. Design Autom. Electr. Syst., 2001
IEEE Trans. Computers, 2001
Formulation and Validation of an Energy Dissipation Model for the Clock Generation Circuitry and Distribution Networks.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the VLDB 2001, 2001
Proceedings of the 2001 ACM SIGPLAN Workshop on Optimization of Middleware and Distributed Systems, 2001
Proceedings of the 2001 ACM SIGPLAN-SIGSOFT Workshop on Program Analysis For Software Tools and Engineering, 2001
Proceedings of the 2001 International Conference on Microelectronics Systems Education, 2001
Proceedings of the 34th Annual International Symposium on Microarchitecture, 2001
Proceedings of the 1st Java Virtual Machine Research and Technology Symposium, 2001
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), 2001
Proceedings of the 38th Design Automation Conference, 2001
Proceedings of the 2001 International Conference on Compilers, 2001
2000
IEEE Trans. Very Large Scale Integr. Syst., 2000
Proceedings of the Integrated Circuit Design, 2000
Proceedings of the Languages and Compilers for Parallel Computing, 2000
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000
Proceedings of the 27th International Symposium on Computer Architecture (ISCA 2000), 2000
Proceedings of the 2000 IEEE International Conference on Multimedia and Expo, 2000
Proceedings of the High Performance Computing, 2000
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000
Proceedings of the 37th Conference on Design Automation, 2000
Proceedings of the 2000 International Conference on Compilers, 2000
1999
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999
1998
J. VLSI Signal Process., 1998
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998
Proceedings of the 12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing (IPPS/SPDP '98), March 30, 1998
Proceedings of the 1998 IEEE International Conference on Acoustics, 1998
Proceedings of the 35th Conference on Design Automation, 1998
1997
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997
J. Circuits Syst. Comput., 1997
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997
Proceedings of the Fourth International on High-Performance Computing, 1997
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997
1996
J. VLSI Signal Process., 1996
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996
Proceedings of the 1996 IEEE International Conference on Acoustics, 1996
Proceedings of the 1996 IEEE International Conference on Acoustics, 1996
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996
Proceedings of the 33st Conference on Design Automation, 1996
Proceedings of the 1996 International Conference on Application-Specific Systems, 1996
Architectural Optimizations For A Floating Point Multiply-Accumulate Unit In A Graphics Pipeline.
Proceedings of the 1996 International Conference on Application-Specific Systems, 1996
1995
J. VLSI Signal Process., 1995
Minimizing power consumption of static CMOS circuits by transistor sizing and input reordering.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995
Proceedings of the Seventh IEEE Symposium on Parallel and Distributed Processing, 1995
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995
Transistor sizing for minimizing power consumption of CMOS circuits under delay constraint.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995
Proceedings of the 1995 International Conference on Acoustics, 1995
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995
Proceedings of the 32st Conference on Design Automation, 1995
Proceedings of the International Conference on Application Specific Array Processors (ASAP'95), 1995
Proceedings of the International Conference on Application Specific Array Processors (ASAP'95), 1995
Proceedings of the 12th Symposium on Computer Arithmetic (ARITH-12 '95), 1995
1994
IEEE Trans. Very Large Scale Integr. Syst., 1994
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994
IEEE Trans. Computers, 1994
IEEE Trans. Computers, 1994
Proceedings of the 8th International Symposium on Parallel Processing, 1994
Proceedings of ICASSP '94: IEEE International Conference on Acoustics, 1994
The MGAP: A High Performance, User Programmable, Multifunctional Architecture for DS.
Proceedings of the 27th Annual Hawaii International Conference on System Sciences (HICSS-27), 1994
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994
Proceedings of the International Conference on Application Specific Array Processors, 1994
Proceedings of the International Conference on Application Specific Array Processors, 1994
1993
The design and implementation of the Arithmetic Cube II, a VLSI signal processing system.
IEEE Trans. Very Large Scale Integr. Syst., 1993
Proceedings of the Sixth International Conference on VLSI Design, 1993
Proceedings of the Seventh International Parallel Processing Symposium, 1993
Proceedings of the IEEE International Conference on Acoustics, 1993
Proceedings of the IEEE International Conference on Acoustics, 1993
Proceedings of the IEEE International Conference on Acoustics, 1993
Proceedings of the European Design Automation Conference 1993, 1993
Proceedings of the European Design Automation Conference 1993, 1993
Proceedings of the International Conference on Application-Specific Array Processors, 1993
1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
Mach. Vis. Appl., 1992
Proceedings of the Fifth International Conference on VLSI Design, 1992
Proceedings of the 1992 IEEE International Conference on Acoustics, 1992
Proceedings of the conference on European design automation, 1992
Proceedings of the 29th Design Automation Conference, 1992
Proceedings of the Application Specific Array Processors, 1992
Proceedings of the Application Specific Array Processors, 1992
1991
Proceedings of the 1991 International Conference on Acoustics, 1991
Proceedings of the Application Specific Array Processors, 1991
1990
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990
Proceedings of the First International Workshop on Rapid System Prototyping, 1990
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990
Proceedings of the 1990 International Conference on Acoustics, 1990
Proceedings of the 1990 International Conference on Acoustics, 1990
Proceedings of the Application Specific Array Processors, 1990
1989
Distributed Fault Diagnosis in the Butterfly Parallel Processor.
Proceedings of the International Conference on Parallel Processing, 1989
Proceedings of the IEEE International Conference on Acoustics, 1989
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989
1988
IEEE Trans. Acoust. Speech Signal Process., 1988
J. Parallel Distributed Comput., 1988
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988
Proceedings of the IEEE International Conference on Acoustics, 1988
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988
1987
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1987
Computer, 1987
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987
1986
A System for Designing, Simulating, and Testing High Performance VLSI Signal Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986
J. Parallel Distributed Comput., 1986
Proceedings of the IEEE International Conference on Acoustics, 1986
1985
Proceedings of the 7th IEEE Symposium on Computer Arithmetic, 1985
1983
Proceedings of the 6th IEEE Symposium on Computer Arithmetic, 1983
1982
A digit online arithmetic simulator.
Proceedings of the International Conference on Parallel Processing, 1982
1981
Proceedings of the 5th IEEE Symposium on Computer Arithmetic, 1981
1980
Proceedings of the 7th Annual Symposium on Computer Architecture, 1980
Proceedings of the IEEE International Conference on Acoustics, 1980
1979
Proceedings of the 6th Annual Symposium on Computer Architecture, 1979
1978
Proceedings of the 5th Annual Symposium on Computer Architecture, 1978
Proceedings of the Proceedings 1978 ACM Annual Conference, 1978
1977