Marc Casas
Orcid: 0000-0003-4564-2093
According to our database1,
Marc Casas
authored at least 112 papers
between 2007 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2024
Proceedings of the 38th ACM International Conference on Supercomputing, 2024
Proceedings of the 33rd International Symposium on High-Performance Parallel and Distributed Computing, 2024
A Two Level Neural Approach Combining Off-Chip Prediction with Adaptive Prefetch Filtering.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024
2023
HPCG on long-vector architectures: Evaluation and optimization on NEC SX-Aurora and RISC-V.
Future Gener. Comput. Syst., June, 2023
Open-Source GEMM Hardware Kernels Generator: Toward Numerically-Tailored Computations.
CoRR, 2023
Characterizing the impact of last-level cache replacement policies on big-data workloads.
CoRR, 2023
Proceedings of the 28th ACM SIGPLAN Annual Symposium on Principles and Practice of Parallel Programming, 2023
Proceedings of the 32nd International Symposium on High-Performance Parallel and Distributed Computing, 2023
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023
2022
IEEE Trans. Parallel Distributed Syst., 2022
CoRR, 2022
TD-NUCA: Runtime Driven Management of NUCA Caches in Task Dataflow Programming Models.
Proceedings of the SC22: International Conference for High Performance Computing, 2022
Proceedings of the Machine Learning and Knowledge Discovery in Databases, 2022
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Task-based Acceleration of Bidirectional Recurrent Neural Networks on Multi-core Architectures.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022
Communication-aware Sparse Patterns for the Factorized Approximate Inverse Preconditioner.
Proceedings of the HPDC '22: The 31st International Symposium on High-Performance Parallel and Distributed Computing, Minneapolis, MN, USA, 27 June 2022, 2022
A Generator of Numerically-Tailored and High-Throughput Accelerators for Batched GEMMs.
Proceedings of the 30th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2022
Proceedings of the 7th IEEE/ACM International Workshop on Extreme Scale Programming Models and Middleware, 2022
2021
Intelligent Adaptation of Hardware Knobs for Improving Performance and Power Consumption.
IEEE Trans. Computers, 2021
Proceedings of the PPoPP '21: 26th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2021
Proceedings of the 2021 International Workshop on Performance Modeling, 2021
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
Dynamically Adapting Floating-Point Precision to Accelerate Deep Neural Network Training.
Proceedings of the 20th IEEE International Conference on Machine Learning and Applications, 2021
Cache-aware Sparse Patterns for the Factorized Sparse Approximate Inverse Preconditioner.
Proceedings of the HPDC '21: The 30th International Symposium on High-Performance Parallel and Distributed Computing, 2021
Proceedings of the Euro-Par 2021: Parallel Processing, 2021
2020
Efficiency analysis of modern vector architectures: vector ALU sizes, core counts and clock frequencies.
J. Supercomput., 2020
J. Supercomput., 2020
Semi-automatic validation of cycle-accurate simulation infrastructures: The case for gem5-x86.
Future Gener. Comput. Syst., 2020
Proceedings of the International Conference for High Performance Computing, 2020
Proceedings of the International Conference for High Performance Computing, 2020
Characterizing the impact of last-level cache replacement policies on big-data workloads.
Proceedings of the IEEE International Symposium on Workload Characterization, 2020
Proceedings of the ICS '20: 2020 International Conference on Supercomputing, 2020
Proceedings of the ICS '20: 2020 International Conference on Supercomputing, 2020
Proceedings of the ICS '20: 2020 International Conference on Supercomputing, 2020
Evaluating Mixed-Precision Arithmetic for 3D Generative Adversarial Networks to Simulate High Energy Physics Detectors.
Proceedings of the 19th IEEE International Conference on Machine Learning and Applications, 2020
Improving Predication Efficiency through Compaction/Restoration of SIMD Instructions.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
2019
J. Supercomput., 2019
J. Parallel Distributed Comput., 2019
Resilient gossip-inspired all-reduce algorithms for high-performance computing: Potential, limitations, and open questions.
Int. J. High Perform. Comput. Appl., 2019
Optimizing computation-communication overlap in asynchronous task-based programs: poster.
Proceedings of the 24th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2019
Proceedings of the OpenMP: Conquering the Full Hardware Spectrum, 2019
Proceedings of the 2019 IEEE International Parallel and Distributed Processing Symposium, 2019
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019
Open-Source Shared Memory implementation of the HPCG benchmark: analysis, improvements and evaluation on Cavium ThunderX2.
Proceedings of the 17th International Conference on High Performance Computing & Simulation, 2019
Power efficient job scheduling by predicting the impact of processor manufacturing variability.
Proceedings of the ACM International Conference on Supercomputing, 2019
Proceedings of the ACM International Conference on Supercomputing, 2019
Proceedings of the Artificial Intelligence Research and Development, 2019
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019
2018
IEEE Trans. Parallel Distributed Syst., 2018
IEEE Trans. Parallel Distributed Syst., 2018
Performance and energy effects on task-based parallelized applications - User-directed versus manual vectorization.
J. Supercomput., 2018
Proceedings of the High Performance Computing - 33rd International Conference, 2018
Proceedings of the 2018 IEEE/ACM Performance Modeling, 2018
Proceedings of the International Conference for High Performance Computing, 2018
Proceedings of the 23rd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2018
Proceedings of the 2018 International Conference on High Performance Computing & Simulation, 2018
Reducing Data Movement on Large Shared Memory Systems by Exploiting Computation Dependencies.
Proceedings of the 32nd International Conference on Supercomputing, 2018
Proceedings of the 32nd International Conference on Supercomputing, 2018
Architectural Support for Task Dependence Management with Flexible Software Scheduling.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, 2018
2017
IEEE Trans. Parallel Distributed Syst., 2017
Prediction of the impact of network switch utilization on application performance via active measurement.
Parallel Comput., 2017
Proceedings of the 25th IEEE International Symposium on Modeling, 2017
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017
Proceedings of the International Conference on Supercomputing, 2017
Proceedings of the International Conference on Supercomputing, 2017
Proceedings of the Euro-Par 2017: Parallel Processing Workshops, 2017
Proceedings of the Euro-Par 2017: Parallel Processing - 23rd International Conference on Parallel and Distributed Computing, Santiago de Compostela, Spain, August 28, 2017
2016
IEEE Trans. Parallel Distributed Syst., 2016
ACM Trans. Archit. Code Optim., 2016
Proceedings of the International Conference for High Performance Computing, 2016
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium, 2016
Runtime-Guided Mitigation of Manufacturing Variability in Power-Constrained Multi-Socket NUMA Nodes.
Proceedings of the 2016 International Conference on Supercomputing, 2016
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016
Reducing Cache Coherence Traffic with Hierarchical Directory Cache and NUMA-Aware Runtime Scheduling.
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016
2015
A framework for evaluating comprehensive fault resilience mechanisms in numerical programs.
J. Supercomput., 2015
Adaptive and application dependent runtime guided hardware prefetcher reconfiguration on the IBM POWER7.
CoRR, 2015
Proceedings of the International Conference for High Performance Computing, 2015
Proceedings of the OpenMP: Heterogenous Execution and Data Movements, 2015
Coherence protocol for transparent management of scratchpad memories in shared memory manycore architectures.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
Proceedings of the Euro-Par 2015: Parallel Processing, 2015
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015
2014
Proceedings of the 2014 IEEE 28th International Parallel and Distributed Processing Symposium, 2014
Active Measurement of the Impact of Network Switch Utilization on Application Performance.
Proceedings of the 2014 IEEE 28th International Parallel and Distributed Processing Symposium, 2014
Evaluating Execution Time Predictability of Task-Based Programs on Multi-Core Processors.
Proceedings of the Euro-Par 2014: Parallel Processing Workshops, 2014
2013
Proceedings of the Parallel Computing: Accelerating Computational Science and Engineering (CSE), 2013
2012
Proceedings of the 2012 SC Companion: High Performance Computing, 2012
Proceedings of the 2012 SC Companion: High Performance Computing, 2012
Proceedings of the International Conference on Supercomputing, 2012
2011
Concurr. Comput. Pract. Exp., 2011
Proceedings of the 17th IEEE International Conference on Parallel and Distributed Systems, 2011
2010
Spectral analysis of executions of computer programs and its applications on performance analysis.
PhD thesis, 2010
Int. J. High Perform. Comput. Appl., 2010
2008
Proceedings of the 22nd Annual International Conference on Supercomputing, 2008
Proceedings of the 2008 IEEE International Conference on Cluster Computing, 29 September, 2008
2007
Automatic Phase Detection of MPI Applications.
Proceedings of the Parallel Computing: Architectures, 2007
Proceedings of the Euro-Par 2007, 2007