Magnus Själander
Orcid: 0000-0003-4232-6976
According to our database1,
Magnus Själander
authored at least 75 papers
between 2004 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2024
R-HLS: An IR for Dynamic High-Level Synthesis and Memory Disambiguation based on Regions and State Edges.
CoRR, 2024
CoRR, 2024
TEEMO: Temperature Aware Energy Efficient Multi-Retention STT-RAM Cache Architecture.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
2023
ACM Trans. Embed. Comput. Syst., September, 2023
ACM Trans. Archit. Code Optim., March, 2023
IEEE Trans. Parallel Distributed Syst., February, 2023
ReCon: Efficient Detection, Management, and Use of Non-Speculative Information Leakage.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
Doppelganger Loads: A Safe, Complexity-Effective Optimization for Secure Speculation Schemes.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Architecting Selective Refresh based Multi-Retention Cache for Heterogeneous System (ARMOUR).
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
2022
Data-Out Instruction-In (DOIN!): Leveraging Inclusive Caches to Attack Speculative Delay Schemes.
Proceedings of the 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED), 2022
Proceedings of the CF '22: 19th ACM International Conference on Computing Frontiers, Turin, Italy, May 17, 2022
2021
Prepare: Power-Aware Approximate Real-time Task Scheduling for Energy-Adaptive QoS Maximization.
ACM Trans. Embed. Comput. Syst., 2021
WaFFLe: Gated Cache-Ways with Per-Core Fine-Grained DVFS for Reduced On-Chip Temperature and Leakage Consumption.
ACM Trans. Archit. Code Optim., 2021
"It's a Trap!"-How Speculation Invariance Can Be Abused with Forward Speculative Interference.
CoRR, 2021
CoRR, 2021
Reorder Buffer Contention: A Forward Speculative Interference Attack for Speculation Invariant Instructions.
IEEE Comput. Archit. Lett., 2021
Seeds of SEED: Preventing Priority Inversion in Instruction Scheduling to Disrupt Speculative Interference.
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021
Do Not Predict - Recompute! How Value Recomputation Can Truly Boost the Performance of Invisible Speculation.
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021
2020
Understanding Selective Delay as a Method for Efficient Secure Speculative Execution.
IEEE Trans. Computers, 2020
ACM J. Emerg. Technol. Comput. Syst., 2020
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
RePAiR: A Strategy for Reducing Peak Temperature while Maximising Accuracy of Approximate Real-Time Computing: Work-in-Progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2020
Clearing the Shadows: Recovering Lost Performance for Invisible Speculative Execution through HW/SW Co-Design.
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020
2019
ACM Trans. Reconfigurable Technol. Syst., 2019
CoRR, 2019
Efficient invisible speculative execution through selective delay and value prediction.
Proceedings of the 46th International Symposium on Computer Architecture, 2019
Improving Memory Access Locality for Vectorized Bit-Serial Matrix Multiplication in Reconfigurable Computing.
Proceedings of the International Conference on Field-Programmable Technology, 2019
Proceedings of the 16th ACM International Conference on Computing Frontiers, 2019
2018
IEEE Trans. Computers, 2018
SWOOP: software-hardware co-design for non-speculative, execute-ahead, in-order cores.
Proceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2018
BISMO: A Scalable Bit-Serial Matrix Multiplication Overlay for Reconfigurable Computing.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018
2017
IEEE Comput. Archit. Lett., 2017
Proceedings of the 2017 International Symposium on Code Generation and Optimization, 2017
2016
Proceedings of the International Conference on Embedded Wireless Systems and Networks, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016
Proceedings of the 2016 International Conference on Compilers, 2016
2015
Proceedings of the 16th ACM SIGPLAN/SIGBED Conference on Languages, 2015
Proceedings of the 16th ACM SIGPLAN/SIGBED Conference on Languages, 2015
Proceedings of the 2015 International Conference on Compilers, 2015
2014
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01745-2, 2014
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014
Reducing set-associative L1 data cache energy by early load data dependence detection (ELD<sup>3</sup>).
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
2013
ACM Trans. Archit. Code Optim., 2013
Designing a practical data filter cache to improve both energy efficiency and performance.
ACM Trans. Archit. Code Optim., 2013
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013
Proceedings of the SIGPLAN/SIGBED Conference on Languages, 2013
Speculative tag access for reduced energy dissipation in set-associative L1 data caches.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization, 2013
2012
Proceedings of the NORCHIP 2012, Copenhagen, Denmark, November 12-13, 2012, 2012
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2012
Proceedings of the 23rd IEEE International Conference on Application-Specific Systems, 2012
2011
Proceedings of the MASCOTS 2011, 2011
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011
2010
A High-Speed, Energy-Efficient Two-Cycle Multiply-Accumulate (MAC) Architecture and Its Application to a Double-Throughput MAC Unit.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010
Design space exploration for an embedded processor with flexible datapath interconnect.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010
2009
J. Signal Process. Syst., 2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Proceedings of the 16th IEEE International Conference on Electronics, 2009
Proceedings of the High Performance Embedded Architectures and Compilers, 2009
2008
Early detection and bypassing of trivial operations to improve energy efficiency of processors.
Microprocess. Microsystems, 2008
High-speed and low-power multipliers using the Baugh-Wooley algorithm and HPM reduction tree.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008
2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
2004
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004