Luigi Carro
Orcid: 0000-0002-7402-4780Affiliations:
- Federal University of Rio Grande do Sul, Porto Alegre, Brazil
According to our database1,
Luigi Carro
authored at least 400 papers
between 1993 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on scopus.com
-
on orcid.org
-
on inf.ufrgs.br
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
ACM Trans. Archit. Code Optim., June, 2024
ACM Trans. Reconfigurable Technol. Syst., March, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
2023
ACM Trans. Reconfigurable Technol. Syst., March, 2023
Integr., 2023
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2023
Understanding and Improving GPUs' Reliability Combining Beam Experiments with Fault Simulation.
Proceedings of the IEEE European Test Symposium, 2023
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2023
Proceedings of the Escola de Computação PPGC/UFRGS 50 Anos: Transformando Desafios em Oportunidades Para o Futuro, 2023
2022
Microprocess. Microsystems, April, 2022
Reduced Precision DWC: An Efficient Hardening Strategy for Mixed-Precision Architectures.
IEEE Trans. Computers, 2022
J. Syst. Archit., 2022
ACM J. Emerg. Technol. Comput. Syst., 2022
Proceedings of the Designing Modern Embedded Systems: Software, Hardware, and Applications, 2022
Proceedings of the Designing Modern Embedded Systems: Software, Hardware, and Applications, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Aggressive Performance Improvement on Processing-in-Memory Devices by Adopting Hugepages.
Proceedings of the 33rd IEEE International Conference on Application-specific Systems, 2022
2021
IEEE Trans. Computers, 2021
Enabling Near-Data Accelerators Adoption by Through Investigation of Datapath Solutions.
Int. J. Parallel Program., 2021
CoRR, 2021
Proceedings of the 29th Euromicro International Conference on Parallel, 2021
Demystifying GPU Reliability: Comparing and Combining Beam Experiments, Fault Simulation, and Profiling.
Proceedings of the 35th IEEE International Parallel and Distributed Processing Symposium, 2021
Protecting GPU's Microarchitectural Vulnerabilities via Effective Selective Hardening.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021
Revealing GPUs Vulnerabilities by Combining Register-Transfer and Software-Level Fault Injection.
Proceedings of the 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
2020
IEEE Trans. Circuits Syst. II Express Briefs, 2020
Microprocess. Microsystems, 2020
Proceedings of the 33rd Symposium on Integrated Circuits and Systems Design, 2020
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020
Leveraging reuse and endurance by efficient mapping and placement for NVM-based FPGAs.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020
Proceedings of the IEEE European Test Symposium, 2020
2019
IEEE Trans. Reliab., 2019
A Dynamic Reconfigurable Super-VLIW Architecture for a Fault Tolerant Nanoscale Design.
Trans. High Perform. Embed. Archit. Compil., 2019
ACM Trans. Embed. Comput. Syst., 2019
A Technologically Agnostic Framework for Cyber-Physical and IoT Processing-in-Memory-based Systems Simulation.
Microprocess. Microsystems, 2019
Predicting performance in multi-core systems with shared reconfigurable accelerators.
J. Syst. Archit., 2019
Kernel and layer vulnerability factor to evaluate object detection reliability in GPUs.
IET Comput. Digit. Tech., 2019
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019
Proceedings of the 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2019
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2019
Detecting Errors in Convolutional Neural Networks Using Inter Frame Spatio-Temporal Correlation.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019
Impact of Reduced Precision in the Reliability of Deep Neural Networks for Object Detection.
Proceedings of the 24th IEEE European Test Symposium, 2019
TransRec: Improving Adaptability in Single-ISA Heterogeneous Systems with Transparent and Reconfigurable Acceleration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Exploiting Reconfigurable Vector Processing for Energy-Efficient Computation in 3D-Stacked Memories.
Proceedings of the Applied Reconfigurable Computing - 15th International Symposium, 2019
2018
ACM Trans. Design Autom. Electr. Syst., 2018
Microelectron. Reliab., 2018
NF<i>κ</i>B pathway analysis: An approach to analyze gene co-expression networks employing feedback cycles.
Comput. Biol. Chem., 2018
Proceedings of the VIII Brazilian Symposium on Computing Systems Engineering, 2018
Proceedings of the Workshop on INTelligent Embedded Systems Architectures and Applications, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Approximate on-the-fly coarse-grained reconfigurable acceleration for general-purpose applications.
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 15th ACM International Conference on Computing Frontiers, 2018
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018
2017
Microprocess. Microsystems, 2017
A generic processing in memory cycle accurate simulator under hybrid memory cube architecture.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017
Evaluation and Mitigation of Soft-Errors in Neural Network-Based Object Detection in Three GPU Architectures.
Proceedings of the 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Applied Reconfigurable Computing - 13th International Symposium, 2017
2016
A Dynamic Modulo Scheduling with Binary Translation: Loop optimization with software compatibility.
J. Signal Process. Syst., 2016
Live-Out Register Fencing: Interrupt-Triggered Soft Error Correction Based on the Elimination of Register-to-Register Communication.
ACM Trans. Embed. Comput. Syst., 2016
Evaluation of Histogram of Oriented Gradients Soft Errors Criticality for Automotive Applications.
ACM Trans. Archit. Code Optim., 2016
Exploiting Idle Hardware to Provide Low Overhead Fault Tolerance for VLIW Processors.
ACM J. Emerg. Technol. Comput. Syst., 2016
Improving performance in VLIW soft-core processors through software-controlled scratchpads.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016
Exploring Cache Size and Core Count Tradeoffs in Systems with Reduced Memory Access Latency.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the Applied Reconfigurable Computing - 12th International Symposium, 2016
Proceedings of the Applied Reconfigurable Computing - 12th International Symposium, 2016
2015
Optimum design of a banked memory with power management for wireless sensor networks.
Wirel. Networks, 2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
ACM Trans. Reconfigurable Technol. Syst., 2015
Adaptive and dynamic reconfigurable multiprocessor system to improve software productivity.
IET Comput. Digit. Tech., 2015
Evaluation of energy savings on a VLIW processor through dynamic issue-width adaptation.
Proceedings of the 2015 International Symposium on Rapid System Prototyping, 2015
Proceedings of the 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2015
Proceedings of the 23rd Euromicro International Conference on Parallel, 2015
Proceedings of the 2015 International Symposium on Memory Systems, 2015
Permanent fault detection and diagnosis in the lightweight dual modular redundancy architecture.
Proceedings of the 16th Latin-American Test Symposium, 2015
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the System Level Design from HW/SW to Memory for Embedded Systems, 2015
Proceedings of the 5th Workshop on Fault Tolerance for HPC at eXtreme Scale, 2015
Understanding GPU errors on large-scale HPC systems and the implications for system design and operation.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015
A non-conservative software-based approach for detecting illegal CFEs caused by transient faults.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Exploiting cache conflicts to reduce radiation sensitivity of operating systems on embedded systems.
Proceedings of the 2015 International Conference on Compilers, 2015
Proceedings of the 2015 International Conference on Compilers, 2015
2014
Adaptive Parallelism Exploitation under Physical and Real-Time Constraints for Resilient Systems.
ACM Trans. Reconfigurable Technol. Syst., 2014
Evaluating the radiation sensitivity of GPGPU caches: New algorithms and experimental results.
Microelectron. Reliab., 2014
Algorithm transformation methods to reduce the overhead of software-based fault tolerance techniques.
Microelectron. Reliab., 2014
Proceedings of the 2014 Brazilian Symposium on Computing Systems Engineering, 2014
Proceedings of the 2014 Brazilian Symposium on Computing Systems Engineering, 2014
Proceedings of the 2014 Brazilian Symposium on Computing Systems Engineering, 2014
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014
Proceedings of the IEEE International Instrumentation and Measurement Technology Conference, 2014
Proceedings of the 19th IEEE European Test Symposium, 2014
Impact of GPUs Parallelism Management on Safety-Critical and HPC Applications Reliability.
Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2014
Radiation Sensitivity of High Performance Computing Applications on Kepler-Based GPGPUs.
Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2014
Adaptive Low-Power Architecture for High-Performance and Reliable Embedded Computing.
Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2014
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014
Reliable execution of statechart-generated correct embedded software under soft errors.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Architecture of Computing Systems - ARCS 2014, 2014
2013
Proceedings of the Handbook of Signal Processing Systems, 2013
Guest Editorial: Special Issue on 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XI).
Int. J. Parallel Program., 2013
Proceedings of the III Brazilian Symposium on Computing Systems Engineering, 2013
Proceedings of the III Brazilian Symposium on Computing Systems Engineering, 2013
A just-in-time modulo scheduling for virtual coarse-grained reconfigurable architectures.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013
Proceedings of the 14th Latin American Test Workshop, 2013
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013
Experimental evaluation of GPUs radiation sensitivity and algorithm-based fault tolerance efficiency.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013
Algorithm transformation methods to reduce software-only fault tolerance techniques' overhead.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013
Proceedings of the Embedded Systems: Design, Analysis and Verification, 2013
Compiler Optimizations Do Impact the Reliability of Control-Flow Radiation Hardened Embedded Software.
Proceedings of the Embedded Systems: Design, Analysis and Verification, 2013
Proceedings of the 8th International Design and Test Symposium, 2013
Neutron sensitivity and software hardening strategies for matrix multiplication and FFT on graphics processing units.
Proceedings of the 3rd Workshop on Fault-tolerance for HPC at extreme scale, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Experimental evaluation of thread distribution effects on multiple output errors in GPUs.
Proceedings of the 18th IEEE European Test Symposium, 2013
Proceedings of the IEEE International Conference on Distributed Computing in Sensor Systems, 2013
A transparent and energy aware reconfigurable multiprocessor platform for simultaneous ILP and TLP exploitation.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the International Conference on Compilers, 2013
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2013
2012
Fault-Tolerant Techniques to Manage Yield and Power Constraints in Network-on-Chip Interconnections.
Proceedings of the VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design, 2012
ATARDS: An adaptive fault-tolerant strategy to cope with massive defects in Network-on-Chip interconnections.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012
Proceedings of the 2012 Spring Simulation Multiconference, 2012
Proceedings of the 2012 Brazilian Symposium on Computing System Engineering, 2012
Proceedings of the 2012 Brazilian Symposium on Computing System Engineering, 2012
Simultaneous reconfiguration of issue-width and instruction cache for a VLIW processor.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012
Adapting communication for adaptable processors: A multi-axis reconfiguration approach.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2012
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012
Exploiting Modified Placement and Hardwired Resources to Provide High Reliability in FPGAs.
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012
Proceedings of the 17th IEEE European Test Symposium, 2012
Proceedings of the 17th IEEE European Test Symposium, 2012
Resilient Adaptive Algebraic Architecture for Parallel Detection and Correction of Soft-Errors.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012
Proceedings of the 15th International Conference on Compilers, 2012
2011
IEEE Trans. Very Large Scale Integr. Syst., 2011
Boosting Parallel Applications Performance on Applying DIM Technique in a Multiprocessing Environment.
Int. J. Reconfigurable Comput., 2011
Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under Massive Defect Rates.
Int. J. Reconfigurable Comput., 2011
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011
Performance Overhead from the Usage of Software Abstraction on Complex Embedded Systems.
Proceedings of the Brazilian Symposium on Computing System Engineering, 2011
AdNoC case-study for Mpeg4 benchmark: improving performance and saving energy with an adaptive NoC.
Proceedings of the 24th Symposium on Integrated Circuits and Systems Design, 2011
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011
Mobile Agents Model and Performance Analysis of a Wireless Sensor Network Target Tracking Application.
Proceedings of the Smart Spaces and Next Generation Wired/Wireless Networking, 2011
Floorplanning-aware design space exploration for application-specific hierarchical networks on-chip.
Proceedings of the 4th International Workshop on Network on Chip Architectures, 2011
Proceedings of the 12th Latin American Test Workshop, 2011
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011
Improving Reliability in NoCs by Application-Specific Mapping Combined with Adaptive Fault-Tolerant Method in the Links.
Proceedings of the 16th European Test Symposium, 2011
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 14th International Conference on Compilers, 2011
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2011
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2011
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011
2010
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010
Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, 2010
Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, 2010
An evaluation of free/open source static analysis tools applied to embedded software.
Proceedings of the 11th Latin American Test Workshop, 2010
Evaluation of a new low cost software level fault tolerance technique to cope with soft errors.
Proceedings of the 11th Latin American Test Workshop, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 2010 International Conference on Compilers, 2010
Towards Estimating Physical Properties of Embedded Systems using Software Quality Metrics.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010
Proceedings of the Handbook of Signal Processing Systems, 2010
Dynamic Reconfigurable Architectures and Transparent Optimization Techniques - Automatic Acceleration of Software Execution.
Springer, ISBN: 978-90-481-3912-5, 2010
2009
Microelectron. J., 2009
Simulink<sup>®</sup>-based heterogeneous multiprocessor SoC design flow for mixed hardware/software refinement and simulation.
Integr., 2009
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009
Proceedings of the Embedded Computer Systems: Architectures, 2009
Proceedings of the Second International Workshop on Network on Chip Architectures, 2009
Proceedings of the 10th Latin American Test Workshop, 2009
Proceedings of the 10th Latin American Test Workshop, 2009
A Low Cost Low Power Quaternary LUT Cell for Fault Tolerant Applications in Future Technologies.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Invariant checkers: An efficient low cost technique for run-time transient errors detection.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009
Proceedings of the Analysis, 2009
New Challenges for Designers of Fault Tolerant Embedded Systems Based on Future Technologies.
Proceedings of the Analysis, 2009
Proceedings of the 16th IEEE International Conference on Electronics, 2009
Adaptive Processing Architectures for the Ultimate Scaling of the CMOS World.
Proceedings of the 2009 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2009
Proceedings of the Reconfigurable Computing: Architectures, 2009
Proceedings of the Reconfigurable Computing: Architectures, 2009
Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems, 2009
2008
Hardware and Software Transparency in the Protection of Programs Against SEUs and SETs.
J. Electron. Test., 2008
Algorithm Level Fault Tolerance: A Technique to Cope with Long Duration Transient Faults in Matrix Multiplication Algorithms.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008
Proceedings of the Model-based Methodologies for Pervasive and Embedded Software, 2008
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
On the Use of Software Quality Metrics to Improve Physical Properties of Embedded Systems.
Proceedings of the Distributed Embedded Systems: Design, 2008
Reducing interconnection cost in coarse-grained dynamic computing through multistage network.
Proceedings of the FPL 2008, 2008
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Analyzing the effects of the granularity of recomputation based techniques to cope with radiation induced soft errors.
Proceedings of the 5th Conference on Computing Frontiers, 2008
Proceedings of the Reconfigurable Computing: Architectures, 2008
2007
Evaluating Different Solutions to Design Fault Tolerant Systems with SRAM-based FPGAs.
J. Electron. Test., 2007
J. Electron. Test., 2007
Memory-efficient multithreaded code generation from Simulink for heterogeneous MPSoC.
Des. Autom. Embed. Syst., 2007
Distributed real-time embedded systems: Recent advances, future trends and their impact on manufacturing plant control.
Annu. Rev. Control., 2007
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007
Reconfigurable Acceleration with Binary Compatibility for General Purpose Processors.
Proceedings of the VLSI-SoC: Advanced Topics on Systems on a Chip, 2007
Transparent acceleration of data dependent instructions for general purpose processors.
Proceedings of the IFIP VLSI-SoC 2007, 2007
Reducing fine-grain communication overhead in multithread code generation for heterogeneous MPSoC.
Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, 2007
Analysis of the use of declarative languages for enhanced embedded system software development.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007
Proceedings of the 18th IEEE International Workshop on Rapid System Prototyping (RSP 2007), 2007
Using built-in sensors to cope with long duration transient faults in future technologies.
Proceedings of the 2007 IEEE International Test Conference, 2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Proceedings of the 37th International Symposium on Multiple-Valued Logic, 2007
Proceedings of the Embedded System Design: Topics, Techniques and Trends, IFIP TC10 Working Conference: International Embedded Systems Symposium (IESS), May 30, 2007
Proceedings of the 12th European Test Symposium, 2007
Proceedings of the 12th European Test Symposium, 2007
System Level Approaches for Mitigation of Long Duration Transient Faults in Future Technologies.
Proceedings of the 12th European Test Symposium, 2007
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 44th Design Automation Conference, 2007
2006
Proceedings of the IFIP VLSI-SoC 2006, 2006
Proceedings of the IFIP VLSI-SoC 2006, 2006
Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, 2006
Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, 2006
Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, 2006
Advantages of Java Processors in Cache Performance and Power for Embedded Applications.
Proceedings of the Embedded Computer Systems: Architectures, 2006
Proceedings of the 17th IEEE International Workshop on Rapid System Prototyping (RSP 2006), 2006
Increasing Reliability in Future Technologies Systems.
Proceedings of the 7th Latin American Test Workshop, 2006
Using Memory to Cope with Simultaneous Transient Faults.
Proceedings of the 7th Latin American Test Workshop, 2006
Dependable Network-on-Chip Router Able to Simultaneously Tolerate Soft Errors and Crosstalk.
Proceedings of the 2006 IEEE International Test Conference, 2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006
Proceedings of the 11th European Test Symposium, 2006
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006
Dynamic Instruction Merging and a Reconfigurable Array: Dataflow Execution with Software Compatibility.
Proceedings of the Reconfigurable Computing: Architectures and Applications, 2006
2005
Desenvolvimento de Técnicas de Tolerância à Falhas para Componentes Programáveis por SRAM.
RITA, 2005
Trading Time and Space on Low Power Embedded Architectures with Dynamic Instruction Merging.
J. Low Power Electron., 2005
IEEE Des. Test Comput., 2005
A constraint-based solution for on-line testing of processors embedded in real-time applications.
Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, 2005
Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, 2005
Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, 2005
Design space exploration comparing homogeneous and heterogeneous network-on-chip architectures.
Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, 2005
Exploiting Java through binary translation for low power embedded reconfigurable systems.
Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005
Proceedings of the New Trends and Technologies in Computer-Aided Learning for Computer-Aided Design, 2005
Proceedings of the From Specification to Embedded Systems Application [International Embedded Systems Symposium, 2005
Proceedings of the From Specification to Embedded Systems Application [International Embedded Systems Symposium, 2005
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005
Proceedings of the 2005 Design, 2005
Dynamic reconfiguration with binary translation: breaking the ILP barrier with software compatibility.
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
ACM Trans. Design Autom. Electr. Syst., 2004
Strategies for the integration of hardware and software IP components in embedded systems-on-chip.
Integr., 2004
J. Electron. Test., 2004
J. Electron. Test., 2004
IEEE Des. Test Comput., 2004
Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, 2004
Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, 2004
Design Space Exploration with Automatic Selection of SW and HW for Embedded Applications.
Proceedings of the Computer Systems: Architectures, 2004
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004
Proceedings of the Design Methods and Applications for Distributed Embedded Systems, 2004
Proceedings of the Design Methods and Applications for Distributed Embedded Systems, 2004
Proceedings of the Design Methods and Applications for Distributed Embedded Systems, 2004
Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology, 2004
Proceedings of the Field Programmable Logic and Application, 2004
Analog Signal Processing Reconfiguration for Systems-on-Chip Using a Fixed Analog Cell Approach.
Proceedings of the Field Programmable Logic and Application, 2004
Proceedings of the 9th European Test Symposium, 2004
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004
Proceedings of the 2004 Design, 2004
Proceedings of the First Conference on Computing Frontiers, 2004
2003
ACM Trans. Design Autom. Electr. Syst., 2003
IEEE Des. Test Comput., 2003
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
An All-Digital ADC for Instrumentation within SOCs.
Proceedings of the IFIP VLSI-SoC 2003, 2003
Low Power Java Processor for Embedded Applications.
Proceedings of the IFIP VLSI-SoC 2003, 2003
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003
Proceedings of the On The Move to Meaningful Internet Systems 2003: OTM 2003 Workshops, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2003
Proceedings of the 40th Design Automation Conference, 2003
Proceedings of the 40th Design Automation Conference, 2003
2002
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002
Analysis and Implementation of a Stochastic Multiplier for Electrical Power Measurement.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002
Analyzing Area and Performance Penalty of Protecting Different Digital Modules with Hamming Code and Triple Modular Redundancy.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002
Complex Adaptive Signal Processing for Analog Testing.
Proceedings of the 3rd Latin American Test Workshop, 2002
Generic and Detailed Search for TAM Definition in Core-Based Systems.
Proceedings of the 3rd Latin American Test Workshop, 2002
Injecting Multiple Upsets in a SEU tolerant 8051 Micro-controller.
Proceedings of the 3rd Latin American Test Workshop, 2002
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002
Proceedings of the 2002 Design, 2002
2001
Análise e Seleção de Redes de Interconexão para Síntese de Sistemas no Ambiente S3E2S.
RITA, 2001
J. Electron. Test., 2001
Proceedings of the 14th Annual Symposium on Integrated Circuits and Systems Design, 2001
Proceedings of the 14th Annual Symposium on Integrated Circuits and Systems Design, 2001
A Test Method for a Broad Class of DSP Circuits.
Proceedings of the 2nd Latin American Test Workshop, 2001
The Sigma-Delta-Bist Method Applied to Linear Analog Circuits.
Proceedings of the 2nd Latin American Test Workshop, 2001
Built-in Test of Analog Non-Linear Circuits in a SOC Environment.
Proceedings of the SOC Design Methodologies, 2001
2000
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000
A Comparison of OO and Reactive Based Specifications on the Design of Embedded Systems.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000
Modeling of Short Circuit Power Consumption Using Timing-Only Logic Cell Macromodels.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000
On the Temperature Dependencies of Analog BIST.
Proceedings of the 1st Latin American Test Workshop, 2000
Using Reconfigurability Features to Break Down Test Costs: a Case Study.
Proceedings of the 1st Latin American Test Workshop, 2000
Proceedings of the IEEE-INNS-ENNS International Joint Conference on Neural Networks, 2000
A Design Methodology for Embedded Systems based on Multiple Processors.
Proceedings of the Architecture and Design of Distributed Embedded Systems, 2000
Proceedings of the 26th EUROMICRO 2000 Conference, 2000
Proceedings of the 2000 Design, 2000
Proceedings of the 2000 Design, 2000
Proceedings of the 2000 Design, 2000
Proceedings of the 2000 Design, 2000
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000
1999
Object-Oriented Modeling and Co-Simulation of Embedded Systems.
Proceedings of the VLSI: Systems on a Chip, 1999
Designing a Mask Programmable Matrix for Sequential Circuits.
Proceedings of the VLSI: Systems on a Chip, 1999
Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 1999
Proceedings of the 1999 Design, 1999
1998
Proceedings of the 11th Annual Symposium on Integrated Circuits Design, 1998
Proceedings of the 11th Annual Symposium on Integrated Circuits Design, 1998
Proceedings of the 35th Conference on Design Automation, 1998
1997
Proceedings of the Ninth Euromicro Workshop on Real-Time Systems, 1997
1996
Proceedings of the Seventh IEEE International Workshop on Rapid System Prototyping (RSP '96), 1996
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996
Proceedings of the IEEE Symposium and Workshop on Engineering of Computer Based Systems (ECBS'96), 1996
Proceedings of the IEEE Symposium and Workshop on Engineering of Computer Based Systems (ECBS'96), 1996
1994
Proceedings of IEEE 5th International Workshop on Rapid System Prototyping, 1994
1993
Microprocess. Microprogramming, 1993