Lieven Eeckhout
Orcid: 0000-0001-8792-4473Affiliations:
- Ghent University, Gent, Belgium
According to our database1,
Lieven Eeckhout
authored at least 284 papers
between 1999 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2021, "For contributions in computer architecture performance analysis and modeling".
IEEE Fellow
IEEE Fellow 2018, "For contributions in computer architecture performance analysis and modeling".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
IEEE Micro, 2024
IEEE Micro, 2024
IEEE Comput. Archit. Lett., 2024
Proceedings of the 57th IEEE/ACM International Symposium on Microarchitecture, 2024
Proceedings of the 57th IEEE/ACM International Symposium on Microarchitecture, 2024
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
2023
ACM Trans. Archit. Code Optim., December, 2023
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2023
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
2022
VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors.
IEEE Trans. Computers, 2022
ACM Trans. Archit. Code Optim., 2022
IEEE Comput. Archit. Lett., 2022
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
2021
ACM Trans. Archit. Code Optim., 2021
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
Proceedings of the IEEE International Symposium on Workload Characterization, 2021
2020
IEEE Trans. Parallel Distributed Syst., 2020
IEEE Trans. Parallel Distributed Syst., 2020
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020
Proceedings of the IEEE International Symposium on Workload Characterization, 2020
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020
2019
IEEE Trans. Parallel Distributed Syst., 2019
IEEE Trans. Computers, 2019
Intra-Cluster Coalescing and Distributed-Block Scheduling to Reduce GPU NoC Pressure.
IEEE Trans. Computers, 2019
Crystal Gazer: Profile-Driven Write-Rationing Garbage Collection for Hybrid Memories.
Proc. ACM Meas. Anal. Comput. Syst., 2019
IEEE Comput. Archit. Lett., 2019
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
RPPM: Rapid Performance Prediction of Multithreaded Workloads on Multicore Processors.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019
Proceedings of the 46th International Symposium on Computer Architecture, 2019
2018
IEEE Trans. Parallel Distributed Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Optimizing Soft Error Reliability Through Scheduling on Heterogeneous Multicore Processors.
IEEE Trans. Computers, 2018
IEEE Comput. Archit. Lett., 2018
RPPM: Rapid Performance Prediction of Multithreaded Applications on Multicore Hardware.
IEEE Comput. Archit. Lett., 2018
Proceedings of the Conference Companion of the 2nd International Conference on Art, 2018
Proceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2018
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium, 2018
Proceedings of the 32nd International Conference on Supercomputing, 2018
GDP: Using Dataflow Properties to Accurately Estimate Interference-Free Performance at Runtime.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
2017
IEEE Trans. Parallel Distributed Syst., 2017
Linear Branch Entropy: Characterizing and Optimizing Branch Behavior in a Micro-Architecture Independent Way.
IEEE Trans. Computers, 2017
DEP+BURST: Online DVFS Performance Prediction for Energy-Efficient Managed Language Execution.
IEEE Trans. Computers, 2017
J. Parallel Distributed Comput., 2017
LA-LLC: Inter-Core Locality-Aware Last-Level Cache to Exploit Many-to-Many Traffic in GPGPUs.
IEEE Comput. Archit. Lett., 2017
Mind The Power Holes: Sifting Operating Points in Power-Limited Heterogeneous Multicores.
IEEE Comput. Archit. Lett., 2017
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017
Application Clustering Policies to Address System Fairness with Intel's Cache Allocation Technology.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017
POSTER: BACM: Barrier-Aware Cache Management for Irregular Memory-Intensive GPGPU Workloads.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017
2016
IEEE Trans. Parallel Distributed Syst., 2016
The Truth, The Whole Truth, and Nothing But the Truth: A Pragmatic Guide to Assessing Empirical Evaluations.
ACM Trans. Program. Lang. Syst., 2016
J. Supercomput., 2016
Analytical Processor Performance and Power Modeling Using Micro-Architecture Independent Characteristics.
IEEE Trans. Computers, 2016
ACM Trans. Archit. Code Optim., 2016
MInGLE: An Efficient Framework for Domain Acceleration Using Low-Power Specialized Functional Units.
ACM Trans. Archit. Code Optim., 2016
Boosting the Priority of Garbage: Scheduling Collection on Heterogeneous Multicore Processors.
ACM Trans. Archit. Code Optim., 2016
ACM Trans. Archit. Code Optim., 2016
IEEE Micro, 2016
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016
Proceedings of the 2016 International Conference on Supercomputing, 2016
Proceedings of the 45th International Conference on Parallel Processing, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
2015
ACM Trans. Comput. Syst., 2015
IEEE Trans. Computers, 2015
ACM Trans. Archit. Code Optim., 2015
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
Proceedings of the 44th International Conference on Parallel Processing, 2015
Proceedings of the 44th International Conference on Parallel Processing, 2015
Proceedings of the 12th ACM International Conference on Computing Frontiers, 2015
Proceedings of the 26th IEEE International Conference on Application-specific Systems, 2015
2014
Performance Portability Across Heterogeneous SoCs Using a Generalized Library-Based Approach.
ACM Trans. Archit. Code Optim., 2014
ACM Trans. Archit. Code Optim., 2014
ACM Trans. Archit. Code Optim., 2014
Restating the Case for Weighted-IPC Metrics to Evaluate Multiprogram Workload Performance.
IEEE Comput. Archit. Lett., 2014
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014
Proceedings of the 4th International Workshop on Runtime and Operating Systems for Supercomputers, 2014
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
The benefit of SMT in the multi-core era: flexibility towards degrees of thread-level parallelism.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014
Proceedings of the International Conference on Parallel Architectures and Compilation, 2014
2013
PCantorSim: Accelerating parallel architecture simulation through fractal-based sampling.
ACM Trans. Archit. Code Optim., 2013
ACM Trans. Archit. Code Optim., 2013
Understanding fundamental design choices in single-ISA heterogeneous multicore architectures.
ACM Trans. Archit. Code Optim., 2013
Selecting representative benchmark inputs for exploring microprocessor design spaces.
ACM Trans. Archit. Code Optim., 2013
ACM Trans. Archit. Code Optim., 2013
Proceedings of the ACM SIGMETRICS / International Conference on Measurement and Modeling of Computer Systems, 2013
Proceedings of the Tools for High Performance Computing 2013, 2013
Proceedings of the 2013 ACM SIGPLAN International Conference on Object Oriented Programming Systems Languages & Applications, 2013
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2013
Criticality stacks: identifying critical threads in parallel programs using synchronization behavior.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, 2013
2012
Exploiting media stream similarity for energy-efficient decoding and resource prediction.
ACM Trans. Embed. Comput. Syst., 2012
ACM Trans. Archit. Code Optim., 2012
ACM Trans. Archit. Code Optim., 2012
Proceedings of the Third Joint WOSP/SIPEW International Conference on Performance Engineering, 2012
Workload generation for microprocessor performance evaluation: SPEC PhD award (invited abstract).
Proceedings of the Third Joint WOSP/SIPEW International Conference on Performance Engineering, 2012
Proceedings of the 27th Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2012
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2012
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2012
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012
Power-aware multi-core simulation for early design stage hardware/software co-optimization.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012
2011
Trans. High Perform. Embed. Archit. Compil., 2011
Trans. High Perform. Embed. Archit. Compil., 2011
Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation.
Proceedings of the Conference on High Performance Computing Networking, 2011
Proceedings of the IEEE 9th Symposium on Application Specific Processors, 2011
Using Fast and Accurate Simulation to Explore Hardware/Software Trade-offs in the Multi-Core Era.
Proceedings of the Applications, Tools and Techniques on the Road to Exascale Computing, Proceedings of the conference ParCo 2011, 31 August, 2011
Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2011
Proceedings of the Latest Advances in Inductive Logic Programming, 2011
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011
The Multi-Program Performance Model: Debunking current practice in multi-core simulation.
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011
Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31, 2011
Proceedings of the High Performance Embedded Architectures and Compilers, 2011
Proceedings of the Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience, UCHPC, VHPC, Bordeaux, France, August 29, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
2010
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01727-8, 2010
IEEE Trans. Computers, 2010
IEEE Micro, 2010
Proceedings of the 2010 ACM SIGPLAN Conference on Programming Language Design and Implementation, 2010
AVF Stressmark: Towards an Automated Methodology for Bounding the Worst-Case Vulnerability to Soft Errors.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010
Modeling critical sections in Amdahl's law and its implications for multicore design.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
Proceedings of the 2010 IEEE International Symposium on Workload Characterization, 2010
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010
Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, 2010
2009
ACM Trans. Design Autom. Electr. Syst., 2009
ACM Trans. Comput. Syst., 2009
Trans. High Perform. Embed. Archit. Compil., 2009
IEEE Trans. Computers, 2009
Memory-level parallelism aware fetch policies for simultaneous multithreading processors.
ACM Trans. Archit. Code Optim., 2009
Proceedings of the High Performance Embedded Architectures and Compilers, 2009
Proceedings of the High Performance Embedded Architectures and Compilers, 2009
Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, 2009
2008
Memory Data Flow Modeling in Statistical Simulation for the Efficient Exploration of Microprocessor Design Spaces.
IEEE Trans. Computers, 2008
ACM Trans. Archit. Code Optim., 2008
Accurate and Efficient Cache Warmup for Sampled Processor Simulation Through NSL-BLRL.
Comput. J., 2008
Sampled Processor Simulation- A Survey.
Adv. Comput., 2008
Proceedings of the 23rd Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2008
Characterizing the Unique and Diverse Behaviors in Existing and Emerging General-Purpose and Domain-Specific Benchmark Suites.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2008
Proceedings of the 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 2008
Proceedings of the High Performance Embedded Architectures and Compilers, 2008
Proceedings of the High Performance Embedded Architectures and Compilers, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the Sixth International Symposium on Code Generation and Optimization (CGO 2008), 2008
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, 2008
2007
Trans. High Perform. Embed. Archit. Compil., 2007
Java object header elimination for reduced memory consumption in 64-bit virtual machines.
ACM Trans. Archit. Code Optim., 2007
IEEE Micro, 2007
Exploiting program phase behavior for energy reduction on multi-configuration processors.
J. Syst. Archit., 2007
Analyzing commercial processor performance numbers for predicting performance of applications of interest.
Proceedings of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2007
Proceedings of the Companion to the 22nd Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2007
Proceedings of the 22nd Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2007
Proceedings of the 22nd Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2007
Proceedings of the Advances in Multimedia Modeling, 2007
Proceedings of the IEEE 10th International Symposium on Workload Characterization, 2007
Proceedings of the 25th International Conference on Computer Design, 2007
Proceedings of the 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 2007
Proceedings of the High Performance Embedded Architectures and Compilers, 2007
Proceedings of the ECOOP 2007 - Object-Oriented Programming, 21st European Conference, Berlin, Germany, July 30, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007
2006
IEEE Trans. Computers, 2006
Yet shorter warmup by combining no-state-loss and MRRL for sampled LRU cache simulation.
J. Syst. Softw., 2006
J. Syst. Archit., 2006
J. Syst. Archit., 2006
Proceedings of the 21th Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2006
Proceedings of the Companion to the 21th Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2006
Proceedings of the 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2006
Proceedings of the 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2006
Proceedings of the 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2006
Proceedings of the 2006 IEEE International Symposium on Workload Characterization, 2006
Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks.
Proceedings of the 2006 IEEE International Symposium on Workload Characterization, 2006
Proceedings of the 2006 IEEE International Symposium on Workload Characterization, 2006
The exigency of benchmark and compiler drift: designing tomorrow's processors with yesterday's tools.
Proceedings of the 20th Annual International Conference on Supercomputing, 2006
Proceedings of the 20th Annual International Conference on Supercomputing, 2006
Efficient design space exploration of high performance embedded out-of-order processors.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 2006
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006
Proceedings of the Proceedings 39th Annual Simulation Symposium (ANSS-39 2006), 2006
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006
2005
Int. J. Parallel Program., 2005
Concurr. Comput. Pract. Exp., 2005
Comput. J., 2005
Proceedings of the Embedded Computer Systems: Architectures, 2005
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005
Proceedings of the High Performance Embedded Architectures and Compilers, 2005
Proceedings of the High Performance Embedded Architectures and Compilers, 2005
Proceedings of the Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30, 2005
Proceedings of the Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, 2005
Using Decision Trees to Improve Program-Based and Profile-Based Static Branch Prediction.
Proceedings of the Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, 2005
2004
How accurate should early design stage power/performance tools be? A case study with statistical simulation.
J. Syst. Softw., 2004
Adv. Comput., 2004
Proceedings of the Visual Communications and Image Processing 2004, 2004
Proceedings of the 16th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2004), 2004
Proceedings of the 19th Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2004
Proceedings of the Companion to the 19th Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2004
Proceedings of the 2004 IEEE International Symposium on Performance Analysis of Systems and Software, 2004
Control Flow Modeling in Statistical Simulation for Accurate and Efficient Processor Design Studies.
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004
Proceedings of the 2004 Design, 2004
2003
IEEE Micro, 2003
J. Syst. Archit., 2003
J. Instr. Level Parallelism, 2003
Comparing Multiported Cache Schemes.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2003
Proceedings of the 2003 ACM SIGPLAN Conference on Object-Oriented Programming Systems, 2003
Proceedings of the Proceedings 36th Annual Simulation Symposium (ANSS-36 2003), Orlando, Florida, USA, March 30, 2003
2002
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 2002
2001
Proceedings of the 2001 IEEE International Symposium on Performance Analysis of Systems and Software, 2001
Hybrid Analytical-Statistical Modeling for Efficiently Exploring Architecture and Workload Design Spaces.
Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 2001
2000
J. Syst. Archit., 2000
Proceedings of the 2000 IEEE International Symposium on Performance Analysis of Systems and Software, 2000
Proceedings of the 5th Australasian Computer Architecture Conference (ACAC 2000), 31 January, 2000
1999
Estimating IPC of a block structured instruction set architecture in an early design stage.
Proceedings of the Parallel Computing: Fundamentals & Applications, 1999
Investigating the Implementation of a Block Structured Architecture in an Early Design Stage.
Proceedings of the 25th EUROMICRO '99 Conference, 1999