Laxmi N. Bhuyan
Orcid: 0000-0002-8759-0458Affiliations:
- University of California, Riverside, USA
According to our database1,
Laxmi N. Bhuyan
authored at least 252 papers
between 1982 and 2023.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2000, "For his significant contributions to the design and analysis of Interconnection Networks and Parallel Processing.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on cs.ucr.edu
On csauthors.net:
Bibliography
2023
ACM Trans. Parallel Comput., June, 2023
Improving Energy Saving of One-Sided Matrix Decompositions on CPU-GPU Heterogeneous Systems.
Proceedings of the 28th ACM SIGPLAN Annual Symposium on Principles and Practice of Parallel Programming, 2023
2022
Proceedings of the 30th IEEE International Conference on Network Protocols, 2022
Cottage: Coordinated Time Budget Assignment for Latency, Quality and Power Optimization in Web Search.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
2021
ACM Trans. Archit. Code Optim., 2021
Proceedings of the 29th IEEE International Conference on Network Protocols, 2021
SmartWatch: accurate traffic analysis and flow-state tracking for intrusion prevention using SmartNICs.
Proceedings of the CoNEXT '21: The 17th International Conference on emerging Networking EXperiments and Technologies, Virtual Event, Munich, Germany, December 7, 2021
2020
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020
SAOU: safe adaptive overclocking and undervolting for energy-efficient GPU computing.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020
2019
Proceedings of the IEEE Conference on Network Function Virtualization and Software Defined Networks, 2019
Proceedings of the ACM International Conference on Supercomputing, 2019
Proceedings of the 39th IEEE International Conference on Distributed Computing Systems, 2019
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019
Proceedings of the Tenth ACM International Conference on Future Energy Systems, 2019
2018
Proceedings of the 23rd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2018
Joint Server and Network Energy Saving in Data Centers for Latency-Sensitive Applications.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium, 2018
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
2017
Enabling Work-Efficiency for High Performance Vertex-Centric Graph Analytics on GPUs.
Proceedings of the Seventh Workshop on Irregular Applications: Architectures and Algorithms, 2017
Wireframe: supporting data-dependent parallelism through dependency graph execution in GPUs.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017
TailCut: Power Reduction under Quality and Latency Constraints in Distributed Search Systems.
Proceedings of the 37th IEEE International Conference on Distributed Computing Systems, 2017
2016
ACM Trans. Archit. Code Optim., 2016
Proceedings of the International Conference for High Performance Computing, 2016
DynSleep: Fine-grained Power Management for a Latency-Critical Data Center Application.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016
Eliminating Intra-Warp Load Imbalance in Irregular Nested Patterns via Collaborative Task Engagement.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium, 2016
Proceedings of the 2016 International Conference on Supercomputing, 2016
2015
Comput. Networks, 2015
Efficient warp execution in presence of divergence with collaborative context collection.
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 29th ACM on International Conference on Supercomputing, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015
2014
Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2014
Proceedings of the Languages and Compilers for Parallel Computing, 2014
Proceedings of the Languages and Compilers for Parallel Computing, 2014
fAHRW<sup>+</sup>: Fairness-aware and locality-enhanced scheduling for multi-server systems.
Proceedings of the 20th IEEE International Conference on Parallel and Distributed Systems, 2014
A scalable hash scheduler for decoding of multiple H.264/AVC streams on multi-core architecture.
Proceedings of the IEEE International Conference on Multimedia and Expo, 2014
An efficient dynamic scheduling scheme for H.264/AVC encoding on multi-core architecture.
Proceedings of the IEEE International Conference on Multimedia and Expo, 2014
Proceedings of the 23rd International Symposium on High-Performance Parallel and Distributed Computing, 2014
A paradigm shift in GP-GPU computing: task based execution of applications with dynamic data dependencies.
Proceedings of the DIDC'14, 2014
Proceedings of the tenth ACM/IEEE symposium on Architectures for networking and communications systems, 2014
Shuffling: a framework for lock contention aware thread scheduling for multicore multiprocessor systems.
Proceedings of the International Conference on Parallel Architectures and Compilation, 2014
2013
ACM Trans. Archit. Code Optim., 2013
ACM Trans. Archit. Code Optim., 2013
Proceedings of the 20th Annual International Conference on High Performance Computing, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the Symposium on Architecture for Networking and Communications Systems, 2013
2012
IEEE Trans. Parallel Distributed Syst., 2012
IEEE/ACM Trans. Netw., 2012
IEEE Trans. Computers, 2012
ACM Trans. Archit. Code Optim., 2012
J. Parallel Distributed Comput., 2012
J. Parallel Distributed Comput., 2012
Comput. Networks, 2012
Proceedings of the 17th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2012
Improving the throughput and delay performance of network processors by applying push model.
Proceedings of the 20th IEEE International Workshop on Quality of Service, 2012
An efficient dynamic multiple-candidate motion vector approach for GPU-based hierarchical motion estimation.
Proceedings of the 31st IEEE International Performance Computing and Communications Conference, 2012
An Adaptive Dynamic Scheduling Scheme for H.264/AVC Decoding on Multicore Architecture.
Proceedings of the 2012 IEEE International Conference on Multimedia and Expo, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
Proceedings of the INFOCOM 2011. 30th IEEE International Conference on Computer Communications, 2011
Thread reinforcer: Dynamically determining number of threads via OS level monitoring.
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
E-AHRW: An Energy-Efficient Adaptive Hash Scheduler for Stream Processing on Multi-core Servers.
Proceedings of the 2011 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS), 2011
Proceedings of the 2011 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS), 2011
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
2010
Performance characterization of multi-thread and multi-core processors based XML application oriented networking systems.
J. Parallel Distributed Comput., 2010
Optimizing Throughput and Latency under Given Power Budget for Network Packet Processing.
Proceedings of the INFOCOM 2010. 29th IEEE International Conference on Computer Communications, 2010
Proceedings of the INFOCOM 2010. 29th IEEE International Conference on Computer Communications, 2010
Proceedings of the IEEE 18th Annual Symposium on High Performance Interconnects, 2010
Proceedings of the Global Communications Conference, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 2010 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2010
Proceedings of the 2010 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2010
2009
IEEE Trans. Parallel Distributed Syst., 2009
Proceedings of the INFOCOM 2009. 28th IEEE International Conference on Computer Communications, 2009
Performance characterization and cache-aware core scheduling in a virtualized multi-core server under 10GbE.
Proceedings of the 2009 IEEE International Symposium on Workload Characterization, 2009
Proceedings of the 17th annual IEEE International Conference on Network Protocols, 2009
Proceedings of the 17th IEEE Symposium on High Performance Interconnects, 2009
Proceedings of the 2009 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2009
An adaptive hash-based multilayer scheduler for L7-filter on a highly threaded hierarchical multi-core server.
Proceedings of the 2009 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2009
2008
IEEE Trans. Computers, 2008
Comput. Commun., 2008
Proceedings of The 2008 IEEE International Conference on Networking, 2008
Performance Characterization of a Dual Quad-Core Based Application Oriented Networking System.
Proceedings of The 2008 IEEE International Conference on Networking, 2008
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the INFOCOM 2008. 27th IEEE International Conference on Computer Communications, 2008
Proceedings of the 28th IEEE International Conference on Distributed Computing Systems (ICDCS 2008), 2008
A Novel Service-Aware Message Scheduler for Cisco Application Oriented Networking Systems.
Proceedings of the 17th International Conference on Computer Communications and Networks, 2008
Proceedings of IEEE International Conference on Communications, 2008
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008
Proceedings of the 2008 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2008
Proceedings of the 2008 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2008
2007
IEEE Trans. Computers, 2007
ACM Trans. Archit. Code Optim., 2007
Proceedings of the 26th IEEE International Performance Computing and Communications Conference, 2007
Proceedings of the INFOCOM 2007. 26th IEEE International Conference on Computer Communications, 2007
Proceedings of the INFOCOM 2007. 26th IEEE International Conference on Computer Communications, 2007
Proceedings of the Global Communications Conference, 2007
Proceedings of the 44th Design Automation Conference, 2007
Proceedings of the 2007 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2007
Proceedings of the 2007 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2007
2006
IEEE Trans. Parallel Distributed Syst., 2006
IEEE Trans. Parallel Distributed Syst., 2006
Application Oriented Networking (AON): Adding Intelligence to Next-Generation Internet Routers.
Proceedings of the Wireless Algorithms, 2006
Proceedings of the LCN 2006, 2006
Proceedings of the 25th IEEE International Performance Computing and Communications Conference, 2006
Proceedings of the Sixth IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2006), 2006
2005
EaseCAM: An Energy and Storage Efficient TCAM-Based Router Architecture for IP Lookup.
IEEE Trans. Computers, 2005
J. Parallel Distributed Comput., 2005
An Experimental Evaluation of the HP V-Class and SGI Origin 2000 Multiprocessors using Microbenchmarks and Scientific Applications.
Int. J. Parallel Program., 2005
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 24th IEEE International Performance Computing and Communications Conference, 2005
Proceedings of the INFOCOM 2005. 24th Annual Joint Conference of the IEEE Computer and Communications Societies, 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Proceedings of the 14th International Conference On Computer Communications and Networks, 2005
Proceedings of the 13th Annual IEEE Symposium on High Performance Interconnects (HOTIC 2005), 2005
Proceedings of the 13th Annual IEEE Symposium on High Performance Interconnects (HOTIC 2005), 2005
Proceedings of the High Performance Embedded Architectures and Compilers, 2005
Achieving fairness and throughput for best-effort traffic in input-queued crossbar switches.
Proceedings of the Global Telecommunications Conference, 2005. GLOBECOM '05, St. Louis, Missouri, USA, 28 November, 2005
Proceedings of the Global Telecommunications Conference, 2005. GLOBECOM '05, St. Louis, Missouri, USA, 28 November, 2005
Proceedings of the Global Telecommunications Conference, 2005. GLOBECOM '05, St. Louis, Missouri, USA, 28 November, 2005
Proceedings of the Global Telecommunications Conference, 2005. GLOBECOM '05, St. Louis, Missouri, USA, 28 November, 2005
Proceedings of the Global Telecommunications Conference, 2005. GLOBECOM '05, St. Louis, Missouri, USA, 28 November, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the 2005 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2005
2004
IEEE Micro, 2004
Des. Autom. Embed. Syst., 2004
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 10th International Conference on Parallel and Distributed Systems, 2004
An efficient scheduling algorithm for combined input-crosspoint-queued (CICQ) switches.
Proceedings of the Global Telecommunications Conference, 2004. GLOBECOM '04, Dallas, Texas, USA, 29 November, 2004
Proceedings of the Global Telecommunications Conference, 2004. GLOBECOM '04, Dallas, Texas, USA, 29 November, 2004
Proceedings of the 2004 Design, 2004
2003
IEEE Trans. Parallel Distributed Syst., 2003
Switch MSHR: A Technique to Reduce Remote Read Memory Access Time in CC-NUMA Multiprocessors.
IEEE Trans. Computers, 2003
IEEE J. Sel. Areas Commun., 2003
Proceedings of the Distributed Computing, 2003
A Cluster-Based Active Router Architecture Supporting Video/Audio Stream Transcoding Service.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
Architectural analysis and instruction-set optimization for design of network protocol processors.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003
Proceedings of the International Conference on Compilers, 2003
2002
Design and analysis of static memory management policies for CC-NUMA multiprocessors.
J. Syst. Archit., 2002
Comparing the Memory System Performance of DSS Workloads on the HP V-Class and SGI Origin 2000.
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002
Proceedings of the Proceedings IEEE INFOCOM 2002, 2002
2001
Proceedings of the IEEE International Symposium on Network Computing and Applications (NCA 2001), 2001
2000
Impact of CC-NUMA Memory Management Policies on the Application Performance of Multistage Switching Networks.
IEEE Trans. Parallel Distributed Syst., 2000
IEEE Trans. Computers, 2000
Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), 2000
Using Switch Directories to Speed Up Cache-to-Cache Transfers in CC-NUMA Multiprocessors.
Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), 2000
Proceedings of the 14th international conference on Supercomputing, 2000
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000
1999
An Efficient Tree Cache Coherence Protocol for Distributed Shared Memory Multiprocessors.
IEEE Trans. Computers, 1999
Proceedings of the 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 1999
Comparing the memory system performance of the HP V-class and SGI Origin 2000 multiprocessors using microbenchmarks and scientific applications.
Proceedings of the 13th international conference on Supercomputing, 1999
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999
Switch Cache: A Framework for Improving the Remote Memory Access Latency of CC-NUMA Multiprocessors.
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999
1998
Impact of Switch Design on the Application Performance of Cache-Coherent Multiprocessors.
Proceedings of the 12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing (IPPS/SPDP '98), March 30, 1998
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998
1997
Performance of Multistage Bus Networks for a Distributed Shared Memory Multiprocessor.
IEEE Trans. Parallel Distributed Syst., 1997
Evaluation of multi-queue buffered multistage interconnection networks under uniform and non-uniform traffic patterns.
Int. J. Syst. Sci., 1997
1996
IEEE Trans. Computers, 1996
Equalization of Digital Communication Channen Using Hartley-Neural Technique.
Proceedings of the Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, 1996
Proceedings of the 10th international conference on Supercomputing, 1996
Proceedings of the 1996 International Conference on Parallel Processing, 1996
1995
IEEE Trans. Computers, 1995
Proceedings of the Seventh IEEE Symposium on Parallel and Distributed Processing, 1995
A Submesh Allocation Scheme for Mesh-Connected Multiprocessor Systems.
Proceedings of the 1995 International Conference on Parallel Processing, 1995
Partitioning an Arbitrary Multicomputer Architecture.
Proceedings of the 1995 International Conference on Parallel Processing, 1995
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995
valuation of multi-queue buffered multistage interconnection networks under uniform and nonuniform traffic patterns.
Proceedings of the 4th International Conference on Computer Communications and Networks (ICCCN '95), 1995
1994
IEEE Trans. Computers, 1994
Proceedings of the Sixth IEEE Symposium on Parallel and Distributed Processing, 1994
Efficient and scalable cache coherence schemes for shared memory hypercube multiprocessors.
Proceedings of the Proceedings Supercomputing '94, 1994
Proceedings of the 1994 International Conference on Parallel Processing, 1994
Proceedings of the 1994 International Conference on Parallel Processing, 1994
1993
IEEE Trans. Parallel Distributed Syst., 1993
IEEE Trans. Computers, 1993
J. Parallel Distributed Comput., 1993
Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, 1993
Proceedings of the Seventh International Parallel Processing Symposium, 1993
Proceedings of the 1993 International Conference on Parallel Processing, 1993
Proceedings of the 1993 International Conference on Parallel Processing, 1993
Proceedings of the 1993 International Conference on Parallel Processing, 1993
Proceedings of the 1993 International Conference on Parallel Processing, 1993
1992
IEEE Trans. Parallel Distributed Syst., 1992
Proceedings of the Fourth IEEE Symposium on Parallel and Distributed Processing, 1992
Proceedings of the Proceedings Supercomputing '92, 1992
A Formal Specification and Verification Technique for Cache Coherence Protocols.
Proceedings of the 1992 International Conference on Parallel Processing, 1992
Extending Multistage Interconnection Networks for Multitasking.
Proceedings of the 1992 International Conference on Parallel Processing, 1992
1991
IEEE Trans. Computers, 1991
J. Parallel Distributed Comput., 1991
Multistage bus network (MBN): an interconnection network for cache coherent multiprocessors.
Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, 1991
Performance Analysis of Layered Task Graphs.
Proceedings of the International Conference on Parallel Processing, 1991
Performance Evaluation of Multistage Interconnection Networks with Finite Buffers.
Proceedings of the International Conference on Parallel Processing, 1991
Proceedings of the 10th International Conference on Distributed Computing Systems (ICDCS 1991), 1991
1990
J. Parallel Distributed Comput., 1990
Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, 1990
Approximate Analysis of Multiprocessing Task Graphs.
Proceedings of the 1990 International Conference on Parallel Processing, 1990
Availability evaluation of MIN-connected multiprocessors using decomposition technique.
Proceedings of the 20th International Symposium on Fault-Tolerant Computing, 1990
1989
Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor.
IEEE Trans. Computers, 1989
IEEE Trans. Computers, 1989
Microprocessing and Microprogramming, 1989
Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, 1989
Analysis of MIN Based Multiprocessors with Private Cache Memories.
Proceedings of the International Conference on Parallel Processing, 1989
From Interconnection Network To Task Level Analysis.
Proceedings of the International Conference on Parallel Processing, 1989
Proceedings of the Computer Design: VLSI in Computers and Processors, 1989
1988
Approximate Analysis of Task Graphs for Parallel Processing Systems.
Proceedings of the 1988 ACM SIGMETRICS conference on Measurement and modeling of computer systems, 1988
Proceedings of the Seventh Annual Joint Conference of the IEEE Computer and Communcations Societies. Networks: Evolution or Revolution?, 1988
A Queueing Network Model for a Cache Coherence Protocol on Multiple-bus Multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1988
1987
J. Parallel Distributed Comput., 1987
Guest Editor's Introduction Interconnection Networks for Parallel and Distributed Processing.
Computer, 1987
Performance Analysis of Packet-Switched Multiple-Bus Multiprocessor Systems.
Proceedings of the 8th IEEE Real-Time Systems Symposium (RTSS '87), 1987
Proceedings of the 14th Annual International Symposium on Computer Architecture. Pittsburgh, 1987
Design and Analysis of a Decentralized Multiple-Bus Multiprocessor.
Proceedings of the International Conference on Parallel Processing, 1987
Performance Analysis of the MIT Tagged Token Dataflow Architecture.
Proceedings of the International Conference on Parallel Processing, 1987
1986
Dependability Evaluation of Multicomputer Networks.
Proceedings of the International Conference on Parallel Processing, 1986
Effect of Arbitration Policies on the Performance of Interconnection Networks.
Proceedings of the International Conference on Parallel Processing, 1986
1985
IEEE Trans. Computers, 1985
Computation Availability of Multiple-Bus Multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1985
Reliability Simulation of Multiprocessor Systems.
Proceedings of the International Conference on Parallel Processing, 1985
Proceedings of the 13th ACM Annual Conference on Computer Science, 1985
1984
IEEE Trans. Computers, 1984
Proceedings of the 11th Annual Symposium on Computer Architecture, 1984
1983
IEEE Trans. Software Eng., 1983
IEEE Trans. Computers, 1983
An Interference Analysis of Interconnection Networks.
Proceedings of the International Conference on Parallel Processing, 1983
1982
Proceedings of the 9th International Symposium on Computer Architecture (ISCA 1982), 1982
Design and performance of a general class of interconnection networks.
Proceedings of the International Conference on Parallel Processing, 1982
VLSI Performance of Multistage Interconnection Network Using 4*4 Switches.
Proceedings of the Proceedings of the 3rd International Conference on Distributed Computing Systems, 1982
Proceedings of the American Federation of Information Processing Societies: 1982 National Computer Conference, 1982