Lars Hedrich
Orcid: 0000-0002-4189-5360Affiliations:
- Goethe University Frankfurt am Main, Germany
According to our database1,
Lars Hedrich
authored at least 60 papers
between 1995 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Formal Verification of Nonlinear Analog Circuits using State Space-Based Model Order Reduction.
Proceedings of the 20th International Conference on Synthesis, 2024
Proceedings of the IEEE International Test Conference, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
2022
2021
Proceedings of the 11th IEEE Annual Computing and Communication Workshop and Conference, 2021
2020
From transistor level to cyber physical/hybrid systems: Formal verification using automatic compositional abstraction.
it Inf. Technol., 2020
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
2019
Automatic Modeling of Transistor Level Circuits by Hybrid Systems with Parameter Variable Matrices.
Proceedings of the 16th International Conference on Synthesis, 2019
Proceedings of the International Symposium on Systems Engineering, 2019
Proceedings of the 18th IEEE International Conference On Machine Learning And Applications, 2019
Behavioral Modeling of Transistor-Level Circuits using Automatic Abstraction to Hybrid Automata.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
2018
2017
Proceedings of the 14th International Conference on Synthesis, 2017
Proceedings of the 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2017
2016
Proceedings of the 2016 Forum on Specification and Design Languages, 2016
Embedded tutorial: Analog-/mixed-signal verification methods for AMS coverage analysis.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
A highly dependable self-adaptive mixed-signal multi-core system-on-chip architecture.
Integr., 2015
Method for system level vibro-acoustic gear modeling and simulation of electro-mechanical drive trains.
Proceedings of the IEEE International Symposium on Systems Engineering, 2015
Ageing simulation of analogue circuits and systems using adaptive transient evaluation.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Semiautomatic implementation of a bioinspired reliable analog task distribution architecture for multiple analog cores.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
2014
ASDeX: a formal specification for analog circuit enabling a full automated design validation.
Des. Autom. Embed. Syst., 2014
2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the Architecture of Computing Systems - ARCS 2013, 2013
2012
Equivalence checking of nonlinear analog circuits for hierarchical AMS System Verification.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012
Detection and Defense Strategies against Attacks on an Artificial Hormone System Running on a Mixed Signal Chip.
Proceedings of the 15th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2012
Trajectory-Directed discrete state space modeling for formal verification of nonlinear analog circuits.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Analog assertion-based verification on partial state space representations using ASL.
Proceedings of the Proceeding of the 2012 Forum on Specification and Design Languages, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
2011
Topology synthesis of analog circuits with yield optimization and evaluation using pareto fronts.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011
A machine-readable specification of analog circuits for integration into a validation flow.
Proceedings of the 2011 Forum on Specification & Design Languages, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
2010
Advanced methods for equivalence checking of analog circuits with strong nonlinearities.
Formal Methods Syst. Des., 2010
Improving verification coverage of analog circuit blocks by state space-guided transient simulation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
2009
Proceedings of the Design, Automation and Test in Europe, 2009
2008
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
2006
Vermeidung fehlerhafter Verifikations-Ergebnisse beim Äquivalenz-Vergleich nichtlinearer analoger Schaltungen.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2006
A case study on applying bounded model checking to analog circuit verification.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2006
Hierarchical exploration and selection of transistor-topologies for analog circuit design.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
An approach to topology synthesis of analog circuits using hierarchical blocks and symbolic analysis.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006
2005
Proceedings of the First Workshop on Formal Verification of Analog Circuits, 2005
2004
Hierarchical Automatic Behavioral Model Generation of Nonlinear Analog Circuits Based on Nonlinear Symbolic Techniques.
Proceedings of the 2004 Design, 2004
2002
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 39th Design Automation Conference, 2002
Proceedings of the Computer Aided Verification, 14th International Conference, 2002
2000
Proceedings of the 37th Conference on Design Automation, 2000
1999
Proceedings of the Second Workshop on Computer Algebra in Scientific Computing, 1999
1998
A Formal Approach to Verification of Linear Analog Circuits with Parameter Tolerances.
Proceedings of the 1998 Design, 1998
1997
1996
Proceedings of the 33st Conference on Design Automation, 1996
1995
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995