Kurt Keutzer
Orcid: 0000-0003-3868-8501Affiliations:
- University of California, Berkeley, USA
According to our database1,
Kurt Keutzer
authored at least 377 papers
between 1987 and 2024.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 1996, "For contributions to logic synthesis and computer-aided design; specifically for the development of algorithms for the optimization of area, delay, testability, and power of digital circuits.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on id.loc.gov
-
on d-nb.info
On csauthors.net:
Bibliography
2024
SparseVLM: Visual Token Sparsification for Efficient Vision-Language Model Inference.
CoRR, 2024
CoRR, 2024
CoRR, 2024
CoRR, 2024
K-Sort Arena: Efficient and Reliable Benchmarking for Generative Models via K-wise Human Preferences.
CoRR, 2024
CoRR, 2024
CoRR, 2024
CoRR, 2024
CoRR, 2024
CoRR, 2024
CoRR, 2024
CoRR, 2024
VeCAF: VLM-empowered Collaborative Active Finetuning with Training Objective Awareness.
CoRR, 2024
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2024
VeCAF: Vision-language Collaborative Active Finetuning with Training Objective Awareness.
Proceedings of the 32nd ACM International Conference on Multimedia, MM 2024, Melbourne, VIC, Australia, 28 October 2024, 2024
Proceedings of the Seventh Annual Conference on Machine Learning and Systems, 2024
Proceedings of the Forty-first International Conference on Machine Learning, 2024
Proceedings of the Forty-first International Conference on Machine Learning, 2024
Proceedings of the Forty-first International Conference on Machine Learning, 2024
Mixture-of-Experts Meets Instruction Tuning: A Winning Combination for Large Language Models.
Proceedings of the Twelfth International Conference on Learning Representations, 2024
MAgIC: Investigation of Large Language Model Powered Multi-Agent in Cognition, Adaptability, Rationality and Collaboration.
Proceedings of the 2024 Conference on Empirical Methods in Natural Language Processing, 2024
Proceedings of the 2024 Conference on Empirical Methods in Natural Language Processing, 2024
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2024, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2024
Proceedings of the Findings of the Association for Computational Linguistics, 2024
Proceedings of the Findings of the Association for Computational Linguistics, 2024
Efficient Deweahter Mixture-of-Experts with Uncertainty-Aware Feature-Wise Linear Modulation.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024
2023
IEEE Robotics Autom. Lett., 2023
Efficient Deweather Mixture-of-Experts with Uncertainty-aware Feature-wise Linear Modulation.
CoRR, 2023
CoRR, 2023
HallE-Switch: Rethinking and Controlling Object Existence Hallucinations in Large Vision Language Models for Detailed Caption.
CoRR, 2023
Flan-MoE: Scaling Instruction-Finetuned Language Models with Sparse Mixture of Experts.
CoRR, 2023
Towards Foundation Models for Scientific Machine Learning: Characterizing Scaling and Transfer Behavior.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Time Will Tell: New Outlooks and A Baseline for Temporal Multi-View 3D Object Detection.
Proceedings of the Eleventh International Conference on Learning Representations, 2023
QD-BEV : Quantization-aware View-guided Distillation for Multi-view 3D Object Detection.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023
NeRF-Det: Learning Geometry-Aware Volumetric Representation for Multi-View 3D Object Detection.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023
SparseFusion: Fusing Multi-Modal Sparse Representations for Multi-Sensor 3D Object Detection.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023
Scale-MAE: A Scale-Aware Masked Autoencoder for Multiscale Geospatial Representation Learning.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023
Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, 2023
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2023, 2023
CSQ: Growing Mixed-Precision Quantization Scheme with Bi-level Continuous Sparsification.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023
NoisyQuant: Noisy Bias-Enhanced Post-Training Activation Quantization for Vision Transformers.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023
2022
IEEE Trans. Neural Networks Learn. Syst., 2022
Emotional Semantics-Preserved and Feature-Aligned CycleGAN for Visual Emotion Adaptation.
IEEE Trans. Cybern., 2022
IEEE Trans. Pattern Anal. Mach. Intell., 2022
Open-Vocabulary 3D Detection via Image-level Class and Debiased Cross-modal Contrastive Learning.
CoRR, 2022
CoRR, 2022
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2022
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2022
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022
Proceedings of the KDD '22: The 28th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14, 2022
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022
Proceedings of the 2022 International Conference on Robotics and Automation, 2022
Proceedings of the International Conference on Machine Learning, 2022
Proceedings of the Tenth International Conference on Learning Representations, 2022
Proceedings of the IEEE International Conference on Acoustics, 2022
Proceedings of the Computer Vision - ECCV 2022, 2022
Proceedings of the Computer Vision - ECCV 2022, 2022
Proceedings of the Computer Vision - ECCV 2022, 2022
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022
2021
Applying Text Analytics to the Mind-section Literature of the Tibetan Tradition of the Great Perfection.
ACM Trans. Asian Low Resour. Lang. Inf. Process., 2021
IEEE Signal Process. Mag., 2021
Int. J. Comput. Vis., 2021
CoRR, 2021
CoRR, 2021
Improving Context-Based Meta-Reinforcement Learning with Self-Supervised Trajectory Contrastive Learning.
CoRR, 2021
Proceedings of the WWW '21: The Web Conference 2021, 2021
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021
Proceedings of the MM '21: ACM Multimedia Conference, Virtual Event, China, October 20, 2021
Proceedings of the ICMR '21: International Conference on Multimedia Retrieval, 2021
You Only Group Once: Efficient Point-Cloud Processing with Token Representation and Relation Inference Module.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021
Proceedings of the 38th International Conference on Machine Learning, 2021
Proceedings of the 38th International Conference on Machine Learning, 2021
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021
Cross-Domain Sentiment Classification with Contrastive Learning and Mutual Information Maximization.
Proceedings of the IEEE International Conference on Acoustics, 2021
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021
Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, 2021
Prototypical Cross-Domain Self-Supervised Learning for Few-Shot Unsupervised Domain Adaptation.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021
Proceedings of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing, 2021
ePointDA: An End-to-End Simulation-to-Real Domain Adaptation Framework for LiDAR Point Cloud Segmentation.
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021
2020
Knowl. Inf. Syst., 2020
CoRR, 2020
FBWave: Efficient and Scalable Neural Vocoders for Streaming Text-To-Speech on the Edge.
CoRR, 2020
Visual Transformers: Token-based Image Representation and Processing for Computer Vision.
CoRR, 2020
CoRR, 2020
CoRR, 2020
Train Large, Then Compress: Rethinking Model Size for Efficient Training and Inference of Transformers.
CoRR, 2020
CoRR, 2020
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020
Proceedings of the MM '20: The 28th ACM International Conference on Multimedia, 2020
Proceedings of the Third Conference on Machine Learning and Systems, 2020
Proceedings of the 37th International Conference on Machine Learning, 2020
Train Big, Then Compress: Rethinking Model Size for Efficient Training and Inference of Transformers.
Proceedings of the 37th International Conference on Machine Learning, 2020
Proceedings of the 8th International Conference on Learning Representations, 2020
Proceedings of SustaiNLP: Workshop on Simple and Efficient Natural Language Processing, 2020
Proceedings of the Computer Vision - ECCV 2020, 2020
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020
Proceedings of the 2020 IEEE International Conference on Big Data (IEEE BigData 2020), 2020
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020
An End-to-End Visual-Audio Attention Network for Emotion Recognition in User-Generated Videos.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020
2019
IEEE Trans. Parallel Distributed Syst., 2019
Personalized Emotion Recognition by Personality-Aware High-Order Learning of Physiological Signals.
ACM Trans. Multim. Comput. Commun. Appl., 2019
Co-design of deep neural nets and neural net accelerators for embedded vision applications.
IBM J. Res. Dev., 2019
Proceedings of the International Conference for High Performance Computing, 2019
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019
Proceedings of the Fifth Workshop on Energy Efficient Machine Learning and Cognitive Computing, 2019
PDANet: Polarity-consistent Deep Attention Network for Fine-grained Visual Emotion Regression.
Proceedings of the 27th ACM International Conference on Multimedia, 2019
LATTE: Accelerating LiDAR Point Cloud Annotation via Sensor Fusion, One-Click Annotation, and Tracking.
Proceedings of the 2019 IEEE Intelligent Transportation Systems Conference, 2019
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019
SqueezeSegV2: Improved Model Structure and Unsupervised Domain Adaptation for Road-Object Segmentation from a LiDAR Point Cloud.
Proceedings of the International Conference on Robotics and Automation, 2019
Proceedings of the 2019 IEEE International Conference on Data Mining, 2019
Domain Randomization and Pyramid Consistency: Simulation-to-Real Generalization Without Accessing Target Domain Data.
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision, 2019
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision, 2019
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019
FBNet: Hardware-Aware Efficient ConvNet Design via Differentiable Neural Architecture Search.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019
CycleEmotionGAN: Emotional Semantic Consistency Preserved CycleGAN for Adapting Image Emotions.
Proceedings of the Thirty-Third AAAI Conference on Artificial Intelligence, 2019
2018
IEEE Des. Test, 2018
Mixed Precision Quantization of ConvNets via Differentiable Neural Architecture Search.
CoRR, 2018
Large batch size training of neural networks with adversarial training and second-order information.
CoRR, 2018
Proceedings of the 30th on Symposium on Parallelism in Algorithms and Architectures, 2018
Proceedings of the Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, 2018
EmotionGAN: Unsupervised Domain Adaptation for Learning Discrete Probability Distributions of Image Emotions.
Proceedings of the 2018 ACM Multimedia Conference on Multimedia Conference, 2018
Proceedings of the 2018 ACM on International Conference on Multimedia Retrieval, 2018
Proceedings of the Brainlesion: Glioma, Multiple Sclerosis, Stroke and Traumatic Brain Injuries, 2018
Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, 2018
Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, 2018
SqueezeSeg: Convolutional Neural Nets with Recurrent CRF for Real-Time Road-Object Segmentation from 3D LiDAR Point Cloud.
Proceedings of the 2018 IEEE International Conference on Robotics and Automation, 2018
Proceedings of the 47th International Conference on Parallel Processing, 2018
Proceedings of the 35th International Conference on Machine Learning, 2018
Proceedings of the 6th International Conference on Learning Representations, 2018
Proceedings of the 2018 IEEE Conference on Computer Vision and Pattern Recognition, 2018
Proceedings of the 2018 IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2018
2017
Keynote: Small Neural Nets Are Beautiful: Enabling Embedded Systems with Small Deep-Neural-Network Architectures.
CoRR, 2017
Proceedings of the 3rd International Conference on Vehicle Technology and Intelligent Transport Systems, 2017
SqueezeDet: Unified, Small, Low Power Fully Convolutional Neural Networks for Real-Time Object Detection for Autonomous Driving.
Proceedings of the 2017 IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2017
Small neural nets are beautiful: enabling embedded systems with small deep-neural-network architectures.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017
Proceedings of the Computing Frontiers Conference, 2017
2016
CoRR, 2016
CoRR, 2016
Boda-RTC: Productive generation of portable, efficient code for convolutional neural networks on mobile computing platforms.
Proceedings of the 12th IEEE International Conference on Wireless and Mobile Computing, 2016
FireCaffe: Near-Linear Acceleration of Deep Neural Network Training on Compute Clusters.
Proceedings of the 2016 IEEE Conference on Computer Vision and Pattern Recognition, 2016
2015
Proceedings of the 5th ACM on International Conference on Multimedia Retrieval, 2015
Proceedings of the IEEE 18th International Conference on Intelligent Transportation Systems, 2015
2014
ACM Trans. Multim. Comput. Commun. Appl., 2014
2013
Proceedings of the 14th Annual Conference of the International Speech Communication Association, 2013
Proceedings of the IEEE International Conference on Image Processing, 2013
Three Fingered Jack: Tackling Portability, Performance, and Productivity with Auto-Parallelized Python.
Proceedings of the 5th USENIX Workshop on Hot Topics in Parallelism, 2013
Measuring the gap between programmable and fixed-function accelerators: A case study on speech recognition.
Proceedings of the 2013 IEEE Hot Chips 25 Symposium (HCS), 2013
2012
Fast ℓ<sub>1</sub>-SPIRiT Compressed Sensing Parallel Imaging MRI: Scalable Parallel Implementation and Clinically Feasible Runtime.
IEEE Trans. Medical Imaging, 2012
Concurr. Comput. Pract. Exp., 2012
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium, 2012
Proceedings of the International Conference on Supercomputing, 2012
Automatic generation of application-specific accelerators for FPGAs from python loop nests.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
2011
ACM Trans. Design Autom. Electr. Syst., 2011
Proceedings of the IEEE Workshop on Applications of Computer Vision (WACV 2011), 2011
Proceedings of the 16th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2011
Proceedings of the 12th International Conference on Parsing Technologies, 2011
Practical parallel imaging compressed sensing MRI: Summary of two years of experience in accelerating body MRI of pediatric patients.
Proceedings of the 8th IEEE International Symposium on Biomedical Imaging: From Nano to Macro, 2011
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011
Proceedings of the IEEE International Conference on Computer Vision Workshops, 2011
Proceedings of the 3rd USENIX Workshop on Hot Topics in Parallelism, 2011
Proceedings of the 2011 IEEE Workshop on Automatic Speech Recognition & Understanding, 2011
Proceedings of the Multiprocessor System-on-Chip - Hardware Design and Tool Integration., 2011
2010
IEEE Micro, 2010
Proceedings of the 11th Annual Conference of the International Speech Communication Association, 2010
Exploring recognition network representations for efficient speech inference on highly parallel platforms.
Proceedings of the 11th Annual Conference of the International Speech Communication Association, 2010
Proceedings of the International Conference on Image Processing, 2010
Proceedings of the Computer Vision, 2010
2009
ACM Transactions on Design Automation of Electronic Systems (TODAES) special section call for papers: Parallel CAD: Algorithm design and programming.
ACM Trans. Design Autom. Electr. Syst., 2009
Proceedings of the 2nd Workshop on High Performance Computational Finance, 2009
A fully data parallel WFST-based large vocabulary continuous speech recognition on a graphics processing unit.
Proceedings of the 10th Annual Conference of the International Speech Communication Association, 2009
Proceedings of the 2009 IEEE International Symposium on Workload Characterization, 2009
Scalable HMM based inference engine in large vocabulary continuous speech recognition.
Proceedings of the 2009 IEEE International Conference on Multimedia and Expo, 2009
Proceedings of the IEEE 12th International Conference on Computer Vision, ICCV 2009, Kyoto, Japan, September 27, 2009
Proceedings of the 16th International Conference on High Performance Computing, 2009
2008
Proceedings of the Encyclopedia of Algorithms - 2008 Edition, 2008
Proceedings of the Machine Learning, 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Scheduling task dependence graphs with variable task execution times onto heterogeneous multiprocessors.
Proceedings of the 8th ACM & IEEE International conference on Embedded software, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 45th Design Automation Conference, 2008
2007
Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, 2007
A decomposition-based constraint optimization approach for statically scheduling task graphs with communication delays to multiprocessors.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Megatrends and EDA 2017.
Proceedings of the 44th Design Automation Conference, 2007
Closing the Power Gap between ASIC and Custom - Tools and Techniques for Low Power Design.
Springer, ISBN: 978-0-387-25763-1, 2007
2005
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
2004
IEEE Micro, 2004
Proceedings of the 2004 International Conference on Parallel Computing in Electrical Engineering (PARELEC 2004), 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 41th Design Automation Conference, 2004
Fast cycle-accurate simulation and instruction set generation for constraint-based descriptions of programmable architectures.
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004
Springer, ISBN: 978-1-4020-8091-3, 2004
Closing the Gap Between ASIC and Custom - Tools and Techniques for High-Performance ASIC Design.
Springer, ISBN: 978-1-4020-7113-3, 2004
2003
Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Low Power Multiplication Algorithm for Switching Activity Reduction through Operand Decomposition.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003
Proceedings of the 2003 Design, 2003
Proceedings of the International Conference on Compilers, 2003
Proceedings of the Networks on Chip, 2003
2002
Impact of spatial intrachip gate length variability on theperformance of high-speed digital circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
IEEE Des. Test Comput., 2002
Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2002
Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2002
Proceedings of 2002 International Symposium on Physical Design, 2002
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002
Proceedings of the Embedded Software, Second International Conference, 2002
Unified tools for SoC embedded systems: mission critical, mission impossible or mission irrelevant?
Proceedings of the 39th Design Automation Conference, 2002
Proceedings of the 39th Design Automation Conference, 2002
2001
OCCOM-efficient computation of observability-based code coveragemetrics for functional verification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Functional vector generation for HDL models using linearprogramming and Boolean satisfiability.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Proc. IEEE, 2001
Proc. IEEE, 2001
J. Electron. Test., 2001
IEEE Des. Test Comput., 2001
Proceedings of the 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 2001
A Functional Validation Technique: Biased-Random Simulation Guided by Observability-Based Coverage.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design.
Proceedings of the 38th Design Automation Conference, 2001
Proceedings of the 38th Design Automation Conference, 2001
Proceedings of the 38th Design Automation Conference, 2001
2000
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000
Impact of Systematic Spatial Intra-Chip Gate Length Variability on Performance of High-Speed Digital Circuits.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Switching Window Computation for Static Timing Analysis in Presence of Crosstalk Noise.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Proceedings of the 37th Conference on Design Automation, 2000
1999
ACM Trans. Design Autom. Electr. Syst., 1999
Proceedings of the 1999 International Symposium on Physical Design, 1999
Proceedings of the IEEE International Conference On Computer Design, 1999
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999
Proceedings of the 36th Conference on Design Automation, 1999
HW and SW in Embedded System Design: Loveboat, Shipwreck, or Ships Passing in the Night.
Proceedings of the 36th Conference on Design Automation, 1999
1998
ACM Trans. Design Autom. Electr. Syst., 1998
Code density optimization for embedded DSP processors using data compression techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
Des. Autom. Embed. Syst., 1998
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification.
Proceedings of the 35th Conference on Design Automation, 1998
Functional Vector Generation for HDL Models Using Linear Programming and 3-Satisfiability.
Proceedings of the 35th Conference on Design Automation, 1998
1997
Estimation of average switching activity in combinational logic circuits using symbolic simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997
The future of logic synthesis and physical design in deep-submicron process geometries.
Proceedings of the 1997 International Symposium on Physical Design, 1997
Proceedings of the 1997 ACM/SIGDA Fifth International Symposium on Field Programmable Gate Arrays, 1997
1996
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996
Proceedings of the Formal Methods in Computer-Aided Design, First International Conference, 1996
1995
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995
Proceedings of the 32st Conference on Design Automation, 1995
1994
J. VLSI Signal Process., 1994
IEEE Trans. Very Large Scale Integr. Syst., 1994
Event suppression: improving the efficiency of timing simulation for synchronous digital circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994
Challenges in code generation for embedded processors.
Proceedings of the Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl, Germany, August 31, 1994
Proceedings of the 31st Conference on Design Automation, 1994
1993
VLSI Design, 1993
IEEE Trans. Very Large Scale Integr. Syst., 1993
Computation of floating mode delay in combinational circuits: practice and implementation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
Delay-fault test generation and synthesis for testability under a standard scan design methodology.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
Formal Methods Syst. Des., 1993
J. Electron. Test., 1993
Proceedings of the 30th Design Automation Conference. Dallas, 1993
1992
On properties of algebraic transformations and the synthesis of multifault-irredundant circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
Necessary and sufficient conditions for hazard-free robust transistor stuck-open-fault testability in multilevel networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992
On average power dissipation and random pattern testability of CMOS combinational logic networks.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992
Proceedings of the 29th Design Automation Conference, 1992
1991
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991
Proceedings of the 9th IEEE VLSI Test Symposium (VTS'91), 1991
The Need for Formal Verification in Hardware Design and What Formal Verification Has Not Done for Me Lately.
Proceedings of the 1991 International Workshop on the HOL Theorem Proving System and its Applications, 1991
A Partial Enhanced-Scan Approach to Robust Delay-Fault Test Generation for Sequential Circuits.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991
Proceedings of the 28th Design Automation Conference, 1991
Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology.
Proceedings of the 28th Design Automation Conference, 1991
1990
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990
Impact and Evaluation of Competing Implementation Media for ASIC's (Panel Abstract).
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990
Synthesis and Optimization Procedures for Robustly Delay-Fault Testable Combinational Logic Circuits.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990
1989
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989
On properties of algebraic transformation and the multifault testability of multilevel logic.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989
Boolean minimization and algebraic factorization procedures for fully testable sequential machines.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989
Three Competing Design Methodologies for ASIC's: Architectual Synthesis, Logic Synthesis, Logic Synthesis and Module Generation.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989
1988
Proceedings of the ACM SIGPLAN'88 Conference on Programming Language Design and Implementation (PLDI), 1988
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988
1987
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987