Kunle Olukotun
Orcid: 0000-0002-8779-0636Affiliations:
- Stanford University, USA
According to our database1,
Kunle Olukotun
authored at least 182 papers
between 1987 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2006, "For contributions to multiprocessors on a chip and multi threaded processor design.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on orcid.org
-
on id.loc.gov
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
SambaNova SN40L: Scaling the AI Memory Wall with Dataflow and Composition of Experts.
CoRR, 2024
CoRR, 2024
Proceedings of the 18th USENIX Symposium on Operating Systems Design and Implementation, 2024
Proceedings of the 51st ACM/IEEE Annual International Symposium on Computer Architecture, 2024
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024
2023
Dataset, March, 2023
Homunculus: Auto-Generating Efficient Data-Plane ML Pipelines for Datacenter Networks.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
2022
CoRR, 2022
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022
Proceedings of the ASPLOS '22: 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, 28 February 2022, 2022
2021
IEEE Comput. Archit. Lett., 2021
Proceedings of the Machine Learning and Knowledge Discovery in Databases. Research Track, 2021
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
High performance lattice regression on FPGAs via a high level hardware description language.
Proceedings of the International Conference on Field-Programmable Technology, 2021
"Let the Data Flow!".
Proceedings of the 11th Conference on Innovative Data Systems Research, 2021
2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
2019
ACM SIGOPS Oper. Syst. Rev., 2019
DeepFreak: Learning Crystallography Diffraction Patterns with Automated Machine Learning.
CoRR, 2019
Proceedings of the Performance Evaluation and Benchmarking for the Era of Cloud(s), 2019
Proceedings of the Second Conference on Machine Learning and Systems, SysML 2019, 2019
Proceedings of the 27th IEEE International Symposium on Modeling, 2019
Proceedings of the 27th IEEE International Symposium on Modeling, 2019
Proceedings of the 46th International Symposium on Computer Architecture, 2019
Proceedings of the 39th IEEE International Conference on Distributed Computing Systems, 2019
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019
Proceedings of the 3rd Asia-Pacific Workshop on Networking, 2019
2018
Proceedings of the Second Workshop on Data Management for End-To-End Machine Learning, 2018
Proceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2018
Flare: Optimizing Apache Spark with Native Compilation for Scale-Up Architectures and Medium-Size Data.
Proceedings of the 13th USENIX Symposium on Operating Systems Design and Implementation, 2018
Proceedings of the 34th IEEE International Conference on Data Engineering, 2018
2017
ACM Trans. Database Syst., 2017
Proc. VLDB Endow., 2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
2016
Proceedings of the 2016 International Conference on Management of Data, 2016
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
Proceedings of the 33nd International Conference on Machine Learning, 2016
Proceedings of the 32nd IEEE International Conference on Data Engineering Workshops, 2016
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016
Have abstraction and eat performance, too: optimized heterogeneous computing with parallel patterns.
Proceedings of the 2016 International Symposium on Code Generation and Optimization, 2016
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016
2015
Proceedings of the 1st Summit on Advances in Programming Languages, 2015
Proceedings of the Advances in Neural Information Processing Systems 28: Annual Conference on Neural Information Processing Systems 2015, 2015
Proceedings of the Advances in Neural Information Processing Systems 28: Annual Conference on Neural Information Processing Systems 2015, 2015
Global Convergence of Stochastic Gradient Descent for Some Non-convex Matrix Problems.
Proceedings of the 32nd International Conference on Machine Learning, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Proceedings of the 13th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2015
2014
Delite: A Compiler Architecture for Performance-Oriented Embedded Domain-Specific Languages.
ACM Trans. Embed. Comput. Syst., 2014
Global Convergence of Stochastic Gradient Descent for Some Nonconvex Matrix Problems.
CoRR, 2014
Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2014
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, 2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Author's retrospective for: improving the performance of speculatively parallel applications on the hydra CMP.
Proceedings of the ACM International Conference on Supercomputing 25th Anniversary Volume, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014
Proceedings of the 12th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2014
2013
On fast parallel detection of strongly connected components (SCC) in small-world graphs.
Proceedings of the International Conference for High Performance Computing, 2013
Optimizing data structures in high-level programs: new directions for extensible compilers based on staging.
Proceedings of the 40th Annual ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, 2013
Forge: generating a high performance DSL implementation from a declarative specification.
Proceedings of the Generative Programming: Concepts and Experiences, 2013
Proceedings of the ECOOP 2013 - Object-Oriented Programming, 2013
2012
Proceedings of the 29th International Conference on Machine Learning, 2012
Proceedings of the ACM SIGPLAN International Conference on Functional Programming, 2012
A case of system-level hardware/software co-design and co-verification of a commodity multi-processor system with custom hardware.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012
2011
IEEE Micro, 2011
Proceedings of the Proceedings IFIP Working Conference on Domain-Specific Languages, 2011
Proceedings of the 16th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2011
Proceedings of the 16th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2011
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011
Proceedings of the 28th International Conference on Machine Learning, 2011
Proceedings of the 16th International Conference on Architectural Support for Programming Languages and Operating Systems, 2011
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
2010
IEEE Micro, 2010
Implementing and evaluating nested parallel transactions in software transactional memory.
Proceedings of the SPAA 2010: Proceedings of the 22nd Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2010
Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2010
Proceedings of the 29th Annual ACM Symposium on Principles of Distributed Computing, 2010
Proceedings of the 25th Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2010
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Proceedings of the 2010 IEEE International Symposium on Workload Characterization, 2010
Proceedings of the 24th International Conference on Supercomputing, 2010
Proceedings of the 15th IEEE International Conference on Engineering of Complex Computer Systems, 2010
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010
Hardware/software co-design for high performance computing: challenges and opportunities.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010
2009
Proceedings of the 36th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, 2009
Proceedings of the 2009 IEEE Hot Chips 21 Symposium (HCS), 2009
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
2008
Proceedings of the SPAA 2008: Proceedings of the 20th Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2008
Proceedings of the SPAA 2008: Proceedings of the 20th Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2008
Proceedings of the 4th International Symposium on Workload Characterization (IISWC 2008), 2008
2007
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01720-9, 2007
Proceedings of the SPAA 2007: Proceedings of the 19th Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2007
Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2007
Proceedings of the 34th International Symposium on Computer Architecture (ISCA 2007), 2007
Proceedings of the 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 2007
Proceedings of the ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007
2006
Proceedings of the Robotics: Science and Systems II, 2006
Proceedings of the ACM SIGPLAN 2006 Conference on Programming Language Design and Implementation, 2006
Proceedings of the Advances in Neural Information Processing Systems 19, 2006
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006
2005
A chip prototyping substrate: the flexible architecture for simulation and testing (FAST).
SIGARCH Comput. Archit. News, 2005
Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2005
Proceedings of the Advances in Neural Information Processing Systems 18 [Neural Information Processing Systems, 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 19th Annual International Conference on Supercomputing, 2005
Proceedings of the High Performance Computing, 2005
Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 2005
Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 2005
2004
IEEE Micro, 2004
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004
Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, 2004
2003
IEEE Micro, 2003
Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2003
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003
Proceedings of the 1st IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2003), 2003
2002
Proceedings of the 2nd Java Virtual Machine Research and Technology Symposium, 2002
Proceedings of the 39th Design Automation Conference, 2002
2001
2000
1999
Proceedings of the 13th international conference on Supercomputing, 1999
JMTP: an architecture for exploiting concurrency in embedded Java applications with real-time considerations.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999
1998
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998
Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, 1998
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998
Proceedings of the 35th Conference on Design Automation, 1998
Proceedings of the ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, 1998
Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, 1998
1997
Proceedings of the 24th International Symposium on Computer Architecture, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
The Hierarchical Multi-Bank DRAM: A High-Performance Architecture for Memory Integrated with Processors.
Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97), 1997
1996
Proceedings of the 23rd Annual International Symposium on Computer Architecture, 1996
Proceedings of the 23rd Annual International Symposium on Computer Architecture, 1996
Proceedings of the Second International Symposium on High-Performance Computer Architecture, 1996
Proceedings of the 33st Conference on Design Automation, 1996
Proceedings of the ASPLOS-VII Proceedings, 1996
1995
The Benefits of Clustering in Shared Address Space Multiprocessors: An Applications-Driven Investigation.
Proceedings of the Proceedings Supercomputing '95, San Diego, CA, USA, December 4-8, 1995, 1995
Proceedings of the 32st Conference on Design Automation, 1995
1994
IEEE Micro, 1994
Proceedings of the 21st Annual International Symposium on Computer Architecture. Chicago, 1994
1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, 1992
1991
Technology-organization tradeoffs in the architecture of a high-performance processor.
PhD thesis, 1991
Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, 1991
1990
J. Parallel Distributed Comput., 1990
<i>check</i> T<sub>c</sub> and <i>min</i> T<sub>c</sub>: Timing Verification and Optimal Clocking of Synchronous Digtal Circuits.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990
1987
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987