Kewal K. Saluja
According to our database1,
Kewal K. Saluja
authored at least 250 papers
between 1972 and 2021.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 1995, "For contributions to the theory and practice of self-test of digital systems.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2021
J. Electron. Test., 2021
2020
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020
2018
Proceedings of the IEEE/ACM 8th Workshop on Fault Tolerance for HPC at eXtreme Scale, 2018
2017
IEICE Trans. Inf. Syst., 2017
J. Electron. Test., 2017
Proceedings of the 18th IEEE Latin American Test Symposium, 2017
Exploiting path delay test generation to develop better TDF tests for small delay defects.
Proceedings of the IEEE International Test Conference, 2017
2016
Necessary and Sufficient Conditions for Thermal Schedulability of Periodic Real-Time Tasks Under Fluid Scheduling Model.
ACM Trans. Embed. Comput. Syst., 2016
IPSJ Trans. Syst. LSI Des. Methodol., 2016
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016
Crypt-Delay: Encrypting IP Cores with Capabilities for Gate-level Logic and Delay Simulations.
Proceedings of the 25th IEEE Asian Test Symposium, 2016
2015
Optimal Test Scheduling of Stacked Circuits under Various Hardware and Power Constraints.
Proceedings of the 28th International Conference on VLSI Design, 2015
Proceedings of the 28th International Conference on VLSI Design, 2015
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015
Proceedings of the 5th Workshop on Fault Tolerance for HPC at eXtreme Scale, 2015
Proceedings of the 39th Annual Computer Software and Applications Conference, 2015
Proceedings of the 24th IEEE Asian Test Symposium, 2015
2014
Optimal Test Scheduling Formulation under Power Constraints with Dynamic Voltage and Frequency Scaling.
J. Electron. Test., 2014
A Test Partitioning Technique for Scheduling Tests for Thermally Constrained 3D Integrated Circuits.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014
CryptIP: An Approach for Encrypting Intellectual Property Cores with Simulation Capabilities.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014
Proceedings of the 2014 27th International Conference on VLSI Design, 2014
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014
Necessary and Sufficient Conditions for Thermal Schedulability of Periodic Real-Time Tasks.
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014
2013
Test Generation for Delay Faults on Clock Lines under Launch-on-Capture Test Environment.
IEICE Trans. Inf. Syst., 2013
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013
Proceedings of the 2013 IEEE 19th International Conference on Embedded and Real-Time Computing Systems and Applications, 2013
Proceedings of the Information Security and Cryptology - ICISC 2013, 2013
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013
Proceedings of the 22nd Asian Test Symposium, 2013
Formulating Optimal Test Scheduling Problem with Dynamic Voltage and Frequency Scaling.
Proceedings of the 22nd Asian Test Symposium, 2013
2012
Proceedings of the 25th International Conference on VLSI Design, 2012
Proceedings of the IEEE 18th Pacific Rim International Symposium on Dependable Computing, 2012
Linear Programming Formulations for Thermal-Aware Test Scheduling of 3D-Stacked Integrated Circuits.
Proceedings of the 21st IEEE Asian Test Symposium, 2012
2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Calibrating On-chip Thermal Sensors in Integrated Circuits: A Design-for-Calibration Approach.
J. Electron. Test., 2011
Ad Hoc Networks, 2011
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011
Soft error reduction through gate input dependent weighted sizing in combinational circuits.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Proceedings of the 16th European Test Symposium, 2011
Indirect detection of clock skew induced hold-time violations on functional paths using scan shift operations.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011
2010
Modeling latency - lifetime trade-off for target detection in mobile sensor networks.
ACM Trans. Sens. Networks, 2010
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010
Proceedings of the International Conference on Wireless Communications and Signal Processing, 2010
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010
Proceedings of the Seventh Annual IEEE Communications Society Conference on Sensor, 2010
Proceedings of the 2011 IEEE International Test Conference, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Test application time minimization for RAS using basis optimization of column decoder.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
Proceedings of the International Conference on Field-Programmable Technology, 2010
Proceedings of the 15th European Test Symposium, 2010
Energy-efficient fault tolerance in chip multiprocessors using Critical Value Forwarding.
Proceedings of the 2010 IEEE/IFIP International Conference on Dependable Systems and Networks, 2010
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W 2010), Chicago, Illinois, USA, June 28, 2010
Multiplexed redundant execution: A technique for efficient fault tolerance in chip multiprocessors.
Proceedings of the Design, Automation and Test in Europe, 2010
Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations.
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
2009
IEEE Trans. Computers, 2009
IPSJ Trans. Syst. LSI Des. Methodol., 2009
Inf. Media Technol., 2009
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009
Proceedings of the 27th IEEE VLSI Test Symposium, 2009
WOR-BIST: A Complete Test Solution for Designs Meeting Power, Area and Performance Requirements.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009
Proceedings of the Sixth Annual IEEE Communications Society Conference on Sensor, 2009
Proceedings of the 2009 IEEE International Test Conference, 2009
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009
Partition Based SoC Test Scheduling with Thermal and Power Constraints under Deep Submicron Technologies.
Proceedings of the Eighteentgh Asian Test Symposium, 2009
2008
Microelectron. Reliab., 2008
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008
Fault Simulation and Test Generation for Transistor Shorts Using Stuck-at Test Tools.
IEICE Trans. Inf. Syst., 2008
Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing.
J. Electron. Test., 2008
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008
Proceedings of the Fifth Annual IEEE Communications Society Conference on Sensor, 2008
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
Proceedings of IEEE International Conference on Communications, 2008
Proceedings of the 13th European Test Symposium, 2008
Proceedings of the 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2008
Proceedings of the 17th IEEE Asian Test Symposium, 2008
2007
IEEE Trans. Very Large Scale Integr. Syst., 2007
IEICE Trans. Inf. Syst., 2007
Acceleration of Test Generation for Sequential Circuits Using Knowledge Obtained from Synthesis for Testability.
IEICE Trans. Inf. Syst., 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Fault Coverage and Fault Efficiency of Transistor Shorts using Gate-Level Simulation and Test Generation.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing.
Proceedings of the 44th Design Automation Conference, 2007
Test Generation for Transistor Shorts using Stuck-at Fault Simulator and Test Generator.
Proceedings of the 16th Asian Test Symposium, 2007
2006
IEEE Trans. Very Large Scale Integr. Syst., 2006
J. Low Power Electron., 2006
Int. J. Distributed Sens. Networks, 2006
IEICE Trans. Inf. Syst., 2006
IEICE Trans. Inf. Syst., 2006
Error Identification in At-Speed Scan BIST Environment in the Presence of Circuit and Tester Speed Mismatch.
IEICE Trans. Inf. Syst., 2006
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Proceedings of the Fifth International Conference on Information Processing in Sensor Networks, 2006
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006
Proceedings of the Global Telecommunications Conference, 2006. GLOBECOM '06, San Francisco, CA, USA, 27 November, 2006
Proceedings of the 15th Asian Test Symposium, 2006
Compaction of pass/fail-based diagnostic test vectors for combinational and sequential circuits.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006
2005
A method for reducing the target fault list of crosstalk faults in synchronous sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
J. Low Power Electron., 2005
J. Comput. Sci. Technol., 2005
On Design for I<sub>DDQ</sub>-Based Diagnosability of CMOS Circuits Using Multiple Power Supplies.
IEICE Trans. Inf. Syst., 2005
IEICE Trans. Inf. Syst., 2005
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the IEEE 2nd International Conference on Mobile Adhoc and Sensor Systems, 2005
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Design and analysis of multiple weight linear compactors of responses containing unknown values.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Progressive random access scan: a simultaneous solution to test power, test data volume and test time.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
State-reuse Test Generation for Progressive Random Access Scan: Solution to Test Power, Application Time and Data Size.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
2004
IEEE Trans. Computers, 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
A yield improvement methodology using pre- and post-silicon statistical clock scheduling.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Enhanced 3-valued logic/fault simulation for full scan circuits using implicit logic values.
Proceedings of the 9th European Test Symposium, 2004
2003
Mob. Networks Appl., 2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
2002
On diagnosing multiple stuck-at faults using multiple and singlefault simulation in combinational circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
An Alternative Method of Generating Tests for Path Delay Faults Using N -Detection Test Sets.
Proceedings of the 9th Pacific Rim International Symposium on Dependable Computing (PRDC 2002), 2002
Proceedings of the First ACM International Workshop on Wireless Sensor Networks and Applications, 2002
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002
Reduction of Target Fault List for Crosstalk-Induced Delay Faults by using Layout Constraints.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002
2001
J. Electron. Test., 2001
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001
Combinational Test Generation for Acyclic SequentialCircuits using a Balanced ATPG Model.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001
On reducing the target fault list of crosstalk-induced delay faults in synchronous sequential circuits.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001
2000
Syst. Comput. Jpn., 2000
Algorithms to Select IDDQ Measurement Vectors for Bridging Faults in Sequential Circuits.
J. Electron. Test., 2000
Design for Strong Testability of RTL Data Paths to Provide Complete Fault Efficiency.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000
Proceedings of the 2000 International Conference on Dependable Systems and Networks (DSN 2000) (formerly FTCS-30 and DCCA-8), 2000
Proceedings of ASP-DAC 2000, 2000
1999
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999
A Correlation Matrix Method of Clock Partitioning for Sequential Circuit Testability.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999
Fault Simulation Techniques to Reduce IDDQ Measurement Vectors for Sequential Circuits.
Proceedings of the 8th Asian Test Symposium (ATS '99), 1999
1998
IEEE Trans. Computers, 1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998
Observation Time Reduction for IDDQ Testing of Briding Faults in Sequential Circuits.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998
1997
IEEE Trans. Very Large Scale Integr. Syst., 1997
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997
1996
VLSI Design, 1996
Incorporating performance and testability constraints during binding in high-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996
Proceedings of the Digest of Papers: FTCS-26, 1996
1995
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995
Synthesizing Finite State Machines for Minimum Length Synchronizing Sequence Using Partial Scan.
Proceedings of the Digest of Papers: FTCS-25, 1995
1994
J. Electron. Test., 1994
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994
Proceedings of the Seventh International Conference on VLSI Design, 1994
Proceedings of the Seventh International Conference on VLSI Design, 1994
Proceedings of the Digest of Papers: FTCS/24, 1994
1993
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
IEEE Trans. Computers, 1993
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993
Efficient Test Vectors for ISCAS Sequential Benchmark Circuits.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993
1992
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992
On fault deletion problem in concurrent fault simulation for synchronous sequential circuits.
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992
Proceedings of the Fifth International Conference on VLSI Design, 1992
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992
Proceedings of the Digest of Papers: FTCS-22, 1992
1991
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991
Proceedings of the 1991 International Symposium on Fault-Tolerant Computing, 1991
1990
IEEE Trans. Computers, 1990
1989
Proceedings of the Proceedings International Test Conference 1989, 1989
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989
A study of time-redundant fault tolerance techniques for high-performance pipelined computers.
Proceedings of the Nineteenth International Symposium on Fault-Tolerant Computing, 1989
Proceedings of the Nineteenth International Symposium on Fault-Tolerant Computing, 1989
1988
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988
IEEE Trans. Computers, 1988
Proceedings of the Eighteenth International Symposium on Fault-Tolerant Computing, 1988
1987
Proceedings of the 14th Annual International Symposium on Computer Architecture. Pittsburgh, 1987
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987
1986
IEEE Trans. Software Eng., 1986
IEEE Trans. Computers, 1986
IEEE Trans. Computers, 1986
IEEE Trans. Computers, 1986
A Novel Approach for Testing Memories Using a Built-In Self Testing Technique.
Proceedings of the Proceedings International Test Conference 1986, 1986
1985
Design of Programmable Logic Arrays for Parallel Testing.
Comput. Syst. Sci. Eng., 1985
A Testable Design of Programmable Logic Arrays with Universal Control and Minimal Overhead.
Proceedings of the Proceedings International Test Conference 1985, 1985
1984
Built-in Testing of Memory Using On-chip Compact Testing Scheme.
Proceedings of the Proceedings International Test Conference 1984, 1984
1983
IEEE Trans. Computers, 1983
A Simplified Algorithm for Testing Microprocessors.
Proceedings of the Proceedings International Test Conference 1983, 1983
Testing Computer Hardware through Data Compression in Space and Time.
Proceedings of the Proceedings International Test Conference 1983, 1983
1982
An enhancement of lssd to reduce test pattern generation effort and increase fault coverage.
Proceedings of the 19th Design Automation Conference, 1982
1980
IEEE Trans. Computers, 1980
1979
1975
IEEE Trans. Computers, 1975
1974
1972
Proceedings of the 13th Annual Symposium on Switching and Automata Theory, 1972