Kartik Mohanram
According to our database1,
Kartik Mohanram
authored at least 86 papers
between 1999 and 2019.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2019
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019
2018
ACM J. Emerg. Technol. Comput. Syst., 2018
IEEE Comput. Archit. Lett., 2018
IEEE Comput. Archit. Lett., 2018
ReadPRO: Read Prioritization Scheduling in ORAM for Efficient Obfuscation in Main Memories.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
CASTLE: compression architecture for secure low latency, low energy, high endurance NVMs.
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
ACM Trans. Archit. Code Optim., 2017
CompEx++: Compression-Expansion Coding for Energy, Latency, and Lifetime Improvements in MLC/TLC NVMs.
ACM Trans. Archit. Code Optim., 2017
Proceedings of the 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, 2017
L<sup>3</sup>EP: Low latency, low energy program-and-verify for triple-level cell phase change memory.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017
COVERT: Counter OVErflow ReducTion for efficient encryption of non-volatlle memories.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
2016
IEEE Trans. Computers, 2016
ACM J. Emerg. Technol. Comput. Syst., 2016
E3R: Energy Efficient Error Recovery for Multi/Triple-Level Cell Non-volatile Memories.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016
CompEx: Compression-expansion coding for energy, latency, and lifetime improvements in MLC/TLC NVM.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
An Offline Frequent Value Encoding for Energy-Efficient MLC/TLC Non-volatile Memories.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015
Flip-Mirror-Rotate: An Architecture for Bit-write Reduction and Wear Leveling in Non-volatile Memories.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
Monolayer transition metal dichalcogenide and black phosphorus transistors for low power robust SRAM design.
Proceedings of the 52nd Annual Design Automation Conference, 2015
2014
IEEE Trans. Computers, 2014
Compression architecture for bit-write reduction in non-volatile memory technologies.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013
Mempack: an order of magnitude reduction in the cost, risk, and time for memory compiler certification.
Proceedings of the Design, Automation and Test in Europe, 2013
2012
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 48th Design Automation Conference, 2011
2010
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
Semi-analytical model for schottky-barrier carbon nanotube and graphene nanoribbon transistors.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
Dominant critical gate identification for power and yield optimization in logic circuits.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
J. Electron. Test., 2009
Novel library of logic gates with ambipolar CNTFETs: Opportunities for multi-level logic synthesis.
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the 46th Design Automation Conference, 2009
2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the 13th European Test Symposium, 2008
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008
Approximate logic circuits for low overhead, non-intrusive concurrent error detection.
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 45th Design Automation Conference, 2008
2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Interactive presentation: Single-ended coding techniques for off-chip interconnects to commodity memory.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006
Design optimization for single-event upset robustness using simultaneous dual-VDD and sizing techniques.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the 43rd Design Automation Conference, 2006
Proceedings of the 1st Workshop on Architectural and System Support for Improving Software Dependability, 2006
2005
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the 42nd Design Automation Conference, 2005
2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
Proceedings of the Power-Aware Computer Systems, 4th International Workshop, 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
2003
Eliminating Non-Determinism During Test of High-Speed Source Synchronous Differential Buses.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003
2002
A methodology for automated insertion of concurrent error detection hardware in synthesizable Verilog RTL.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002
Proceedings of the 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 2002
1999
Proceedings of the 8th Asian Test Symposium (ATS '99), 1999