José Pineda de Gyvez
Orcid: 0000-0002-0723-7065Affiliations:
- Eindhoven University of Technology, Netherlands
According to our database1,
José Pineda de Gyvez
authored at least 126 papers
between 1989 and 2023.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2009, "For contributions defect oriented testing of integrated circuits".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2023
IEEE Trans. Circuits Syst. II Express Briefs, July, 2023
2021
Converter-Free Power Delivery Using Voltage Stacking for Near/Subthreshold Operation.
IEEE Trans. Very Large Scale Integr. Syst., 2021
IEEE Trans. Circuits Syst. II Express Briefs, 2021
Multi-Level Optimization of an Ultra-Low Power BrainWave System for Non-Convulsive Seizure Detection.
IEEE Trans. Biomed. Circuits Syst., 2021
A Low Power Fully-Digital Multi-Level Voltage Monitor Operating in a Wide Voltage Range for Energy Harvesting IoT.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021
2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Access, 2020
Optimal bounded-skew steiner trees to minimize maximum <i>k</i>-active dynamic power.
Proceedings of the SLIP '20: System-Level Interconnect, 2020
Proceedings of the 9th Mediterranean Conference on Embedded Computing, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
2019
Timing Speculation With Optimal In Situ Monitoring Placement and Within-Cycle Error Prevention.
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
Integr., 2019
Integr., 2019
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019
Trading Digital Accuracy for Power in an RSSI Computation of a Sensor Network Transceiver.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
2018
CLIM: A Cross-Level Workload-Aware Timing Error Prediction Model for Functional Units.
IEEE Trans. Computers, 2018
Proceedings of the 28th International Symposium on Power and Timing Modeling, 2018
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Effective In-Situ Chip Health Monitoring with Selective Monitor Insertion Along Timing Paths.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018
2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
Increasing the energy efficiency of microcontroller platforms with low-design margin co-processors.
Microprocess. Microsystems, 2017
IEEE J. Solid State Circuits, 2017
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017
An analytical model for interdependent setup/hold-time characterization of flip-flops.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
A scan-chain based state retention methodology for IoT processors operating on intermittent energy.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Floorplan and placement methodology for improved energy reduction in stacked power-domain design.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
2016
A microcontroller with 96% power-conversion efficiency using stacked voltage domains.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
2015
ACM Trans. Design Autom. Electr. Syst., 2015
A 13 bits 4.096 GHz 45 nm CMOS digital decimation filter chain with Carry-Save format numbers.
Microprocess. Microsystems, 2015
Slack-aware timing margin redistribution technique utilizing error avoidance flip-flops and time borrowing.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015
Reducing energy consumption in microcontroller-based platforms with low design margin co-processors.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
2014
IEEE Trans. Circuits Syst. I Regul. Pap., 2014
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
IEEE Trans. Computers, 2013
A 13 bits 4.096 GHz 45 nm CMOS digital decimation filter chain using Carry-Save format numbers.
Proceedings of the 2013 NORCHIP, Vilnius, Lithuania, November 11-12, 2013, 2013
2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
Low-Power Die-Level Process Variation and Temperature Monitors for Yield Analysis and Optimization in Deep-Submicron CMOS.
IEEE Trans. Instrum. Meas., 2012
J. Low Power Electron., 2012
A better-than-worst-case circuit design methodology using timing-error speculation and frequency adaptation.
Proceedings of the IEEE 25th International SOC Conference, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
Stochastic Analysis of Deep-Submicrometer CMOS Process for Reliable Circuits Designs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011
A 1.2v 55mW 12bits self-calibrated dual-residue analog to digital converter in 90 nm CMOs.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011
Process Variation Reduction for CMOS Logic Operating at Sub-threshold Supply Voltage.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011
2010
An Ultra-Low-Energy Multi-Standard JPEG Co-Processor in 65 nm CMOS With Sub/Near Threshold Supply Voltage.
IEEE J. Solid State Circuits, 2010
Ultra-Low-Power Digital Design with Body Biasing for Low Area and Performance-Efficient Operation.
J. Low Power Electron., 2010
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Power-performance optimization using fuzzy control of simultaneous supply voltage and body biasing scaling.
Proceedings of the 17th IEEE International Conference on Electronics, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
An ultra-low-energy/frame multi-standard JPEG co-processor in 65nm CMOS with sub/near-threshold power supply.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009
Dynamic voltage scaling based on supply current tracking using fuzzy Logic controller.
Proceedings of the 16th IEEE International Conference on Electronics, 2009
An energy-aware multiplier based on a Configurable-Reuse of points design methodology.
Proceedings of the 16th IEEE International Conference on Electronics, 2009
2008
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
2007
IET Comput. Digit. Tech., 2007
V<sub>t</sub> balancing and device sizing towards high yield of sub-threshold static logic gates.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007
Interactive presentation: BIST method for die-level process parameter variation monitoring in analog/mixed-signal integrated circuits.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2006
IEEE J. Solid State Circuits, 2006
J. Low Power Electron., 2006
Structural Fault Modeling and Fault Detection Through Neyman-Pearson Decision Criteria for Analog Integrated Circuits.
J. Electron. Test., 2006
Proceedings of the 11th European Test Symposium, 2006
2005
IEEE Trans. Circuits Syst. II Express Briefs, 2005
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 10th European Test Symposium, 2005
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005
2004
IEEE J. Solid State Circuits, 2004
IEEE J. Solid State Circuits, 2004
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
AN SRAM Weak Cell Fault Model and a DFT Technique with a Programmable Detection Threshold.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004
Proceedings of the 2004 Design, 2004
2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Proceedings of the 2003 International Conference on Microelectronics Systems Education, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003
Process-variability aware delay fault testing of ΔV<sub>T</sub> and weak-open defects.
Proceedings of the 8th European Test Workshop, 2003
2002
2001
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001
Proceedings of the Third IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2001), March 31, 2001
Proceedings of the Third IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2001), March 31, 2001
2000
IEEE Trans. Instrum. Meas., 2000
Integr. Comput. Aided Eng., 2000
Proceedings of the 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 2000
1999
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999
1998
IEEE Trans. Very Large Scale Integr. Syst., 1998
1996
IEEE Trans. Neural Networks, 1996
Real Time Imaging, 1996
Proceedings of International Conference on Neural Networks (ICNN'96), 1996
1995
Time Domain Analog Wavelet Transform in Real-Time.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995
1994
A power supply ramping and current measurement based technique for analog fault diagnosis.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994
1993
Integrated circuit defect-sensitivity - theory and computational models.
The Kluwer international series in engineering and computer science 208, Kluwer, ISBN: 978-0-7923-9306-1, 1993
1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
1989
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989