José Duato
Orcid: 0000-0002-7785-0607Affiliations:
- Polytechnic University of Valencia, Spain
According to our database1,
José Duato
authored at least 456 papers
between 1991 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on gap.upv.es
On csauthors.net:
Bibliography
2024
A smart and novel approach for managing incast and in-network congestion through adaptive routing.
Future Gener. Comput. Syst., 2024
A New Mechanism to Identify Congesting Packets in High-Performance Interconnection Networks.
Proceedings of the IEEE Symposium on High-Performance Interconnects, 2024
A Hybrid Solution to Provide End-to-End Flow Control and Congestion Management in High-Performance Interconnection Networks.
Proceedings of the 24th IEEE International Symposium on Cluster, 2024
2023
Analyzing the impact of the MPI allreduce in distributed training of convolutional neural networks.
Computing, May, 2023
GreenLightningAI: An Efficient AI System with Decoupled Structural and Quantitative Knowledge.
CoRR, 2023
2021
UPR: deadlock-free dynamic network reconfiguration by exploiting channel dependency graph compatibility.
J. Supercomput., 2021
DVL-Lossy: Isolating Congesting Flows to Optimize Packet Dropping in Lossy Data-Center Networks.
IEEE Micro, 2021
Clust. Comput., 2021
Evaluation of MPI Allreduce for Distributed Training of Convolutional Neural Networks.
Proceedings of the 29th Euromicro International Conference on Parallel, 2021
Proceedings of the 29th Euromicro International Conference on Parallel, 2021
2020
Path2SL: Leveraging InfiniBand Resources to Reduce Head-of-Line Blocking in Fat Trees.
IEEE Micro, 2020
HP-DCFNoC: High Performance Distributed Dynamic TDM Scheduler Based on DCFNoC Theory.
IEEE Access, 2020
Proceedings of the ICS '20: 2020 International Conference on Supercomputing, 2020
Optimizing Packet Dropping by Efficient Congesting-Flow Isolation in Lossy Data-Center Networks.
Proceedings of the IEEE Symposium on High-Performance Interconnects, 2020
2019
Combining Source-adaptive and Oblivious Routing with Congestion Control in High-performance Interconnects using Hybrid and Direct Topologies.
ACM Trans. Archit. Code Optim., 2019
Concurr. Comput. Pract. Exp., 2019
Proceedings of the ACM SIGCOMM 2019 Workshop on Networking for Emerging Applications and Technologies, 2019
Proceedings of the 26th European MPI Users' Group Meeting, 2019
Proceedings of the 17th International Conference on High Performance Computing & Simulation, 2019
Path2SL: Optimizing Head-of-Line Blocking Reduction in InfiniBand-Based Fat-Tree Networks.
Proceedings of the 2019 IEEE Symposium on High-Performance Interconnects, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 19th IEEE/ACM International Symposium on Cluster, 2019
2018
J. Parallel Distributed Comput., 2018
Future Gener. Comput. Syst., 2018
2017
IEEE Trans. Parallel Distributed Syst., 2017
Perf&Fair: A Progress-Aware Scheduler to Enhance Performance and Fairness in SMT Multicores.
IEEE Trans. Computers, 2017
Speeding up the execution of numerical computations and simulations with rCUDA.
Proceedings of the 14th International Joint Conference on e-Business and Telecommunications (ICETE 2017), 2017
A Case Study on Implementing Virtual 5D Torus Networks Using Network Components of Lower Dimensionality.
Proceedings of the 3rd IEEE International Workshop on High-Performance Interconnection Networks in the Exascale and Big-Data Era, 2017
Enhancing the rCUDA Remote GPU Virtualization Framework: from a Prototype to a Production Solution.
Proceedings of the 17th IEEE/ACM International Symposium on Cluster, 2017
2016
IEEE Trans. Parallel Distributed Syst., 2016
IEEE Trans. Parallel Distributed Syst., 2016
The k-ary n-direct s-indirect family of topologies for large-scale interconnection networks.
J. Supercomput., 2016
A dynamic execution time estimation model to save energy in heterogeneous multicores running periodic tasks.
Future Gener. Comput. Syst., 2016
Proceedings of the 24th Euromicro International Conference on Parallel, 2016
Proceedings of the 2016 International Conference on Supercomputing, 2016
2015
Efficient and Cost-Effective Hybrid Congestion Control for HPC Interconnection Networks.
IEEE Trans. Parallel Distributed Syst., 2015
J. Supercomput., 2015
On the design of a new dynamic credit-based end-to-end flow control mechanism for HPC clusters.
Parallel Comput., 2015
Microprocess. Microsystems, 2015
Concurr. Comput. Pract. Exp., 2015
A parallel and sensitive software tool for methylation analysis on multicore platforms.
Bioinform., 2015
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium, 2015
Proceedings of the 2015 International Conference on High Performance Computing & Simulation, 2015
2014
IEEE Trans. Parallel Distributed Syst., 2014
J. Supercomput., 2014
IEEE Trans. Computers, 2014
Parallel Comput., 2014
J. Parallel Distributed Comput., 2014
Proceedings of the 26th IEEE International Symposium on Computer Architecture and High Performance Computing, 2014
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014
Achieving balanced buffer utilization with a proper co-design of flow control and routing algorithm.
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014
Proceedings of the 2014 IEEE 13th International Symposium on Network Computing and Applications, 2014
Proceedings of the 2014 International Conference on Supercomputing, 2014
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014
Proceedings of the 8th International Workshop on Interconnection Network Architecture, 2014
Combining HoL-blocking avoidance and differentiated services in high-speed interconnects.
Proceedings of the 21st International Conference on High Performance Computing, 2014
Boosting the performance of remote GPU virtualization using InfiniBand connect-IB and PCIe 3.0.
Proceedings of the 2014 IEEE International Conference on Cluster Computing, 2014
2013
An Effective and Feasible Congestion Management Technique for High-Performance MINs with Tag-Based Distributed Routing.
IEEE Trans. Parallel Distributed Syst., 2013
Hardware-Based Generation of Independent Subtraces of Instructions in Clustered Processors.
IEEE Trans. Computers, 2013
Increasing the Effectiveness of Directory Caches by Avoiding the Tracking of Noncoherent Memory Blocks.
IEEE Trans. Computers, 2013
J. Syst. Archit., 2013
J. Parallel Distributed Comput., 2013
Power-aware scheduling with effective task migration for real-time multicore embedded systems.
Concurr. Comput. Pract. Exp., 2013
Proceedings of the International Conference on Supercomputing, 2013
Proceedings of the 42nd International Conference on Parallel Processing, 2013
Proceedings of the International Conference on Computational Science, 2013
Proceedings of the International Conference on Computational Science, 2013
BBQ: A Straightforward Queuing Scheme to Reduce HoL-Blocking in High-Performance Hybrid Networks.
Proceedings of the Euro-Par 2013 Parallel Processing, 2013
Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 2013 IEEE International Conference on Cluster Computing, 2013
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, 2013
2012
Impact on Performance and Energy of the Retention Time and Processor Frequency in L1 Macrocell-Based Data Caches.
IEEE Trans. Very Large Scale Integr. Syst., 2012
IEEE Trans. Parallel Distributed Syst., 2012
J. Supercomput., 2012
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
Design, Performance, and Energy Consumption of eDRAM/SRAM Macrocells for L1 Data Caches.
IEEE Trans. Computers, 2012
IEEE Trans. Computers, 2012
Combining recency of information with selective random and a victim cache in last-level caches.
ACM Trans. Archit. Code Optim., 2012
J. Parallel Distributed Comput., 2012
Comput. Informatics, 2012
Efficiently Handling Memory Accesses to Improve QoS in Multicore Systems under Real-Time Constraints.
Proceedings of the IEEE 24th International Symposium on Computer Architecture and High Performance Computing, 2012
Proceedings of the 20th Euromicro International Conference on Parallel, 2012
Proceedings of the 11th IEEE International Symposium on Network Computing and Applications, 2012
Proceedings of the 10th IEEE International Symposium on Parallel and Distributed Processing with Applications, 2012
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium, 2012
Proceedings of the 41st International Conference on Parallel Processing, 2012
Page-Based Memory Allocation Policies of Local and Remote Memory in Cluster Computers.
Proceedings of the 18th IEEE International Conference on Parallel and Distributed Systems, 2012
Proceedings of the 18th IEEE International Conference on Parallel and Distributed Systems, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 19th International Conference on High Performance Computing, 2012
Proceedings of the Euro-Par 2012: Parallel Processing Workshops, 2012
Proceedings of the Euro-Par 2012 Parallel Processing - 18th International Conference, 2012
Proceedings of the 2012 IEEE International Conference on Cluster Computing, 2012
Exploiting SIMD Instructions in Current Processors to Improve Classical String Algorithms.
Proceedings of the Advances in Databases and Information Systems, 2012
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012
2011
IEEE Trans. Parallel Distributed Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
J. Parallel Distributed Comput., 2011
OBQA: Smart and cost-efficient queue scheme for Head-of-Line blocking elimination in fat-trees.
J. Parallel Distributed Comput., 2011
Int. J. Parallel Program., 2011
Concurr. Comput. Pract. Exp., 2011
Concurr. Comput. Pract. Exp., 2011
A New Energy-Aware Dynamic Task Set Partitioning Algorithm for Soft and Hard Embedded Real-Time Systems.
Comput. J., 2011
IEEE Comput. Archit. Lett., 2011
Proceedings of the 23rd International Symposium on Computer Architecture and High Performance Computing, 2011
Proceedings of the NOCS 2011, 2011
Proceedings of The Tenth IEEE International Symposium on Networking Computing and Applications, 2011
Increasing the effectiveness of directory caches by deactivating coherence for private memory blocks.
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011
Proceedings of the International Conference on Parallel Processing, 2011
Energy and Performance Efficient Thread Mapping in NoC-Based CMPs under Process Variations.
Proceedings of the International Conference on Parallel Processing, 2011
Combining Congested-Flow Isolation and Injection Throttling in HPC Interconnection Networks.
Proceedings of the International Conference on Parallel Processing, 2011
Proceedings of the International Conference on Parallel Processing, 2011
Proceedings of the International Conference on Parallel Processing, 2011
Proceedings of the Algorithms and Architectures for Parallel Processing, 2011
Proceedings of the 13th IEEE International Conference on High Performance Computing & Communication, 2011
Proceedings of the 13th IEEE International Conference on High Performance Computing & Communication, 2011
Unleash Your Memory-Constrained Applications: A 32-Node Non-coherent Distributed-Memory Prototype Cluster.
Proceedings of the 13th IEEE International Conference on High Performance Computing & Communication, 2011
Proceedings of the Fifth International Workshop on Interconnection Network Architecture, 2011
Proceedings of the 18th International Conference on High Performance Computing, 2011
Proceedings of the 18th International Conference on High Performance Computing, 2011
A Dynamic Power-Aware Partitioner with Task Migration for Multicore Embedded Systems.
Proceedings of the Euro-Par 2011 Parallel Processing - 17th International Conference, 2011
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011
Proceedings of the 20th ACM Conference on Information and Knowledge Management, 2011
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
2010
Dealing with Transient Faults in the Interconnection Network of CMPs at the Cache Coherence Level.
IEEE Trans. Parallel Distributed Syst., 2010
IEEE Trans. Parallel Distributed Syst., 2010
Ensuring the performance and scalability of peer-to-peer distributed virtual environments.
Future Gener. Comput. Syst., 2010
Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption.
Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), 2010
Balancing Task Resource Requirements in Embedded Multithreaded Multicore Processors to Reduce Power Consumption.
Proceedings of the 18th Euromicro Conference on Parallel, 2010
Proceedings of the 18th Euromicro Conference on Parallel, 2010
Proceedings of the NOCS 2010, 2010
Proceedings of the NOCS 2010, 2010
Proceedings of the 2010 International Conference on High Performance Computing & Simulation, 2010
Cost-Effective Congestion Management for Interconnection Networks Using Distributed Deterministic Routing.
Proceedings of the 16th IEEE International Conference on Parallel and Distributed Systems, 2010
Extending a Multicore Multithread Simulator to Model Power-Aware Hard Real-Time Systems.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2010
Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing, 2010
Proceedings of the 12th IEEE International Conference on High Performance Computing and Communications, 2010
Proceedings of the 2010 International Conference on High Performance Computing, 2010
VCTlite: Towards an efficient implementation of virtual cut-through switching in on-chip networks.
Proceedings of the 2010 International Conference on High Performance Computing, 2010
Proceedings of the Euro-Par 2010 - Parallel Processing, 16th International Euro-Par Conference, Ischia, Italy, August 31, 2010
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 2010 IEEE International Conference on Cluster Computing, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
IEEE Trans. Syst. Man Cybern. Part A, 2009
IEEE Trans. Parallel Distributed Syst., 2009
IEEE Trans. Parallel Distributed Syst., 2009
IEEE Trans. Computers, 2009
J. Parallel Distributed Comput., 2009
IET Comput. Digit. Tech., 2009
A performance evaluation of 2D-mesh, ring, and crossbar interconnects for chip multi-processors.
Proceedings of the Second International Workshop on Network on Chip Architectures, 2009
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Dynamic task set partitioning based on balancing memory requirements to reduce power consumption.
Proceedings of the 23rd international conference on Supercomputing, 2009
Proceedings of the 2009 IEEE Hot Chips 21 Symposium (HCS), 2009
Proceedings of the 2009 IEEE Hot Chips 21 Symposium (HCS), 2009
Proceedings of the Euro-Par 2009, 2009
Proceedings of the Euro-Par 2009 Parallel Processing, 2009
An Efficient Low-Complexity Alternative to the ROB for Out-of-Order Retirement of Instructions.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009
2008
Extending the TokenCMP Cache Coherence Protocol for Low Overhead Fault Tolerance in CMP Architectures.
IEEE Trans. Parallel Distributed Syst., 2008
IEEE Trans. Computers, 2008
IEEE Trans. Computers, 2008
Scalable Comput. Pract. Exp., 2008
IEEE Comput. Archit. Lett., 2008
Proceedings of the ACM/IEEE Conference on High Performance Computing, 2008
Proceedings of the 16th Euromicro International Conference on Parallel, 2008
Proceedings of the 16th Euromicro International Conference on Parallel, 2008
Proceedings of the Ninth International Conference on Parallel and Distributed Computing, 2008
Exploring High-Dimensional Topologies for NoC Design Through an Integrated Analysis and Synthesis Framework.
Proceedings of the Second International Symposium on Networks-on-Chips, 2008
Proceedings of the Second International Symposium on Networks-on-Chips, 2008
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Epoch-based reconfiguration: Fast, simple, and effective dynamic network reconfiguration.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
A simple power-aware scheduling for multicore systems when running real-time applications.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the 2008 International Conference on Parallel Processing, 2008
Proceedings of the 2008 International Conference on Parallel Processing, 2008
Proceedings of the 14th International Conference on Parallel and Distributed Systems, 2008
Proceedings of the 14th International Conference on Parallel and Distributed Systems, 2008
Proceedings of the High Performance Computing, 2008
FBICM: Efficient Congestion Management for High-Performance Networks Using Distributed Deterministic Routing.
Proceedings of the High Performance Computing, 2008
Proceedings of the Euro-Par 2008, 2008
On the Influence of the Packet Marking and Injection Control Schemes in Congestion Management for MINs.
Proceedings of the Euro-Par 2008, 2008
Proceedings of the 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2008
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008
2007
IEEE Trans. Parallel Distributed Syst., 2007
IEEE Trans. Parallel Distributed Syst., 2007
IEEE Trans. Parallel Distributed Syst., 2007
IEEE Trans. Parallel Distributed Syst., 2007
IEEE Trans. Computers, 2007
Comput. Commun., 2007
Proceedings of the IEEE Virtual Reality Conference, 2007
Proceedings of the 15th Euromicro International Conference on Parallel, 2007
Proceedings of the 15th Euromicro International Conference on Parallel, 2007
Proceedings of the 15th Euromicro International Conference on Parallel, 2007
Region-Based Routing: An Efficient Routing Mechanism to Tackle Unreliable Hardware in Network on Chips.
Proceedings of the First International Symposium on Networks-on-Chips, 2007
An Efficient Fault-Tolerant Routing Methodology for Fat-Tree Interconnection Networks.
Proceedings of the Parallel and Distributed Processing and Applications, 2007
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
RECN-IQ: A Cost-Effective Input-Queued Switch Architecture with Congestion Management.
Proceedings of the 2007 International Conference on Parallel Processing (ICPP 2007), 2007
Proceedings of the Information Networking. Towards Ubiquitous Networking and Services, 2007
Proceedings of the High Performance Computing and Communications, 2007
Proceedings of the 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 2007
Proceedings of the Euro-Par 2007, 2007
VB-MT: Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors.
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007
2006
IEEE Trans. Computers, 2006
Scalable Comput. Pract. Exp., 2006
IEEE Micro, 2006
J. Parallel Distributed Comput., 2006
J. Parallel Distributed Comput., 2006
Proceedings of the Fifth IEEE International Symposium on Network Computing and Applications, 2006
Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
A Scalable Synchronization Technique for Distributed Virtual Environments Based on Networked-Server Architectures.
Proceedings of the 2006 International Conference on Parallel Processing Workshops (ICPP Workshops 2006), 2006
Proceedings of the 2006 International Conference on Parallel Processing (ICPP 2006), 2006
Proceedings of the 2006 International Conference on Parallel Processing (ICPP 2006), 2006
Proceedings of the 12th International Conference on Parallel and Distributed Systems, 2006
Proceedings of the 12th International Conference on Parallel and Distributed Systems, 2006
Proceedings of the 12th International Conference on Parallel and Distributed Systems, 2006
Proceedings of the High Performance Computing and Communications, 2006
Towards a Cost-Effective Interconnection Network Architecture with QoS and Congestion Management Support.
Proceedings of the Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28, 2006
Proceedings of the Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28, 2006
Providing Full Awareness to Distributed Virtual Environments Based on Peer-to-Peer Architectures.
Proceedings of the Advances in Computer Graphics, 2006
Proceedings of the 2006 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2006
2005
On-Chip Interconnects and Instruction Steering Schemes for Clustered Microarchitectures.
IEEE Trans. Parallel Distributed Syst., 2005
IEEE Trans. Parallel Distributed Syst., 2005
Part II: A Methodology for Developing Deadlock-Free Dynamic Network Reconfiguration Processes.
IEEE Trans. Parallel Distributed Syst., 2005
IEEE Trans. Parallel Distributed Syst., 2005
Traffic Scheduling Solutions with QoS Support for an Input-Buffered MultiMedia Router.
IEEE Trans. Parallel Distributed Syst., 2005
IEEE Trans. Parallel Distributed Syst., 2005
IEEE Trans. Parallel Distributed Syst., 2005
J. Parallel Distributed Comput., 2005
Proceedings of the 13th Euromicro Workshop on Parallel, 2005
A Memory-Effective Fault-Tolerant Routing Strategy for Direct Interconnection Networks.
Proceedings of the 4th International Symposium on Parallel and Distributed Computing (ISPDC 2005), 2005
Proceedings of the High-Performance Computing - 6th International Symposium, 2005
Proceedings of the 10th IEEE Symposium on Computers and Communications (ISCC 2005), 2005
A Sexual Elitist Genetic Algorithm for Providing QoS in Distributed Virtual Environment Systems.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
A New Scalable and Cost-Effective Congestion Management Strategy for Lossless Multistage Interconnection Networks.
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005
Proceedings of the 13th Annual IEEE Symposium on High Performance Interconnects (HOTIC 2005), 2005
Proceedings of the High Performance Embedded Architectures and Compilers, 2005
Proceedings of the High Performance Computing, 2005
Proceedings of the Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30, 2005
Proceedings of the Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30, 2005
2004
An Effective Methodology to Improve the Performance of the Up*/Down* Routing Algorithm.
IEEE Trans. Parallel Distributed Syst., 2004
An Architecture for High-Performance Scalable Shared-Memory Multiprocessors Exploiting On-Chip Integration.
IEEE Trans. Parallel Distributed Syst., 2004
Parallel Algorithms Appl., 2004
J. Syst. Archit., 2004
IEEE Comput. Archit. Lett., 2004
A Cost-Effective Technique to Reduce HOL Blocking in Single-Stage and Multistage Switch Fabrics.
Proceedings of the 12th Euromicro Workshop on Parallel, 2004
An analysis of deadlock risk during centralized network mapping.
Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks, 2004
Proceedings of the Network and Parallel Computing, IFIP International Conference, 2004
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 33rd International Conference on Parallel Processing (ICPP 2004), 2004
Proceedings of the 10th International Conference on Parallel and Distributed Systems, 2004
A Comparison Study of Metaheuristic Techniques for Providing QoS to Avatars in DVE Systems.
Proceedings of the Computational Science and Its Applications, 2004
Proceedings of the High Performance Computing, 2004
Proceedings of the High Performance Computing, 2004
Proceedings of the Euro-Par 2004 Parallel Processing, 2004
2003
IEEE Trans. Parallel Distributed Syst., 2003
FC3D: Flow Control-Based Distributed Deadlock Detection Mechanism for True Fully Adaptive Routing in Wormhole Networks.
IEEE Trans. Parallel Distributed Syst., 2003
Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing.
IEEE Trans. Computers, 2003
Proceedings of the ACM/IEEE SC2003 Conference on High Performance Networking and Computing, 2003
A Method for Applying Double Scheme Dynamic Reconfiguration over InfiniBand<sup>TM</sup>.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2003
A Hardware Approach to QoS Support in Cluster Environments: The Multimedia Router MMR.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2003
Proceedings of the 11th Euromicro Workshop on Parallel, 2003
LSOM: A Link State Protocol Over Mac Addresses for Metropolitan Backbones Using Optical Ethernet Switches.
Proceedings of the 2nd IEEE International Symposium on Network Computing and Applications (NCA 2003), 2003
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
A Solution for Handling Hybrid Traffic in Clustered Environments: The MultiMedia Router MMR.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
Proceedings of the 32nd International Conference on Parallel Processing (ICPP 2003), 2003
Proceedings of the 32nd International Conference on Parallel Processing (ICPP 2003), 2003
Proceedings of the 32nd International Conference on Parallel Processing (ICPP 2003), 2003
Proceedings of the 32nd International Conference on Parallel Processing (ICPP 2003), 2003
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), 2003
Proceedings of the Euro-Par 2003. Parallel Processing, 2003
Proceedings of the 2003 IEEE International Conference on Cluster Computing (CLUSTER 2003), 2003
2002
IEEE Trans. Parallel Distributed Syst., 2002
A Clustering Method for Modeling the Communication Requirements of Message-Passing Applications.
Comput. Artif. Intell., 2002
Owner prediction for accelerating cache-to-cache transfer misses in a cc-NUMA architecture.
Proceedings of the 2002 ACM/IEEE conference on Supercomputing, 2002
Performance Sensitivity of Routing Algorithms to Failures in Networks of Workstations with Regular and Irregular Topologies.
Proceedings of the 10th Euromicro Workshop on Parallel, 2002
Proceedings of the 10th Euromicro Workshop on Parallel, 2002
Proceedings of the 10th Euromicro Workshop on Parallel, 2002
Reducing the Latency of L2 Misses in Shared-Memory Multiprocessors through On-Chip Directory Integration.
Proceedings of the 10th Euromicro Workshop on Parallel, 2002
Improving the Performance of Real-Time Communication Services on High-Speed LANs under Topology Changes.
Proceedings of the 27th Annual IEEE Conference on Local Computer Networks (LCN 2002), 2002
Proceedings of the High Performance Computing, 4th International Symposium, 2002
Proceedings of the High Performance Computing, 4th International Symposium, 2002
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002
Proceedings of the 31st International Conference on Parallel Processing (ICPP 2002), 2002
A multimedia router architecture to provide high performance and QoS guarantees to mixed traffic.
Proceedings of the 2002 IEEE International Conference on Multimedia and Expo, 2002
Proceedings of the High Performance Computing, 2002
Proceedings of the Euro-Par 2002, 2002
Proceedings of the Euro-Par 2002, 2002
Proceedings of the 28th EUROMICRO Conference 2002, 4-6 September 2002, Dortmund, Germany, 2002
Proceedings of the 2002 IEEE International Conference on Cluster Computing (CLUSTER 2002), 2002
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 2002
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 2002
Proceedings of the IEEE 5th Workshop on Multimedia Signal Processing, 2002
2001
IEEE Trans. Parallel Distributed Syst., 2001
IEEE Trans. Parallel Distributed Syst., 2001
A Protocol for Deadlock-Free Dynamic Reconfiguration in High-Speed Local Area Networks.
IEEE Trans. Parallel Distributed Syst., 2001
A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a NOW Environment.
J. Parallel Distributed Comput., 2001
Comput. Artif. Intell., 2001
On the Relative Behavior of Source and Distributed Routing in NOWs Using Up/Down Routing Schemes.
Proceedings of the Ninth Euromicro Workshop on Parallel and Distributed Processing, 2001
On the Impact of Message Packetization in Networks of Workstations with Irregular Topology.
Proceedings of the Ninth Euromicro Workshop on Parallel and Distributed Processing, 2001
Proceedings of the Ninth Euromicro Workshop on Parallel and Distributed Processing, 2001
Proceedings of the IEEE International Symposium on Network Computing and Applications (NCA 2001), 2001
Proceedings of the IEEE International Symposium on Network Computing and Applications (NCA 2001), 2001
Proceedings of the IEEE International Symposium on Network Computing and Applications (NCA 2001), 2001
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001
Improving Network Performance by Reducing Network Contention in Source-Based COWs with a Low Path-Computation Overhead.
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001
Proceedings of the 30th International Workshops on Parallel Processing (ICPP 2001 Workshops), 2001
Proceedings of the 2001 International Conference on Parallel Processing, 2001
Proceedings of the 2001 International Conference on Parallel Processing, 2001
Proceedings of the 2001 International Conference on Parallel Processing, 2001
Proceedings of the Eigth International Conference on Parallel and Distributed Systems, 2001
Proceedings of the Networking, 2001
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), 2001
Performance Evaluation of Real-Time Communication Services on High-Speed LANs under Topology Changes.
Proceedings of the High Performance Computing - HiPC 2001, 8th International Conference, 2001
Improving Network Performance by Efficiently Dealing with Short Control Messages in Fibre Channel SANs.
Proceedings of the Euro-Par 2001: Parallel Processing, 2001
Proceedings of the Euro-Par 2001: Parallel Processing, 2001
Proceedings of the 2nd International Workshop on Digital and Computational Video (DCV 2001), 2001
Proceedings of the Proceedings 34th Annual Simulation Symposium (SS 2001), 2001
2000
IEEE Trans. Parallel Distributed Syst., 2000
IEEE Trans. Parallel Distributed Syst., 2000
IEEE Trans. Parallel Distributed Syst., 2000
An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors.
J. Syst. Archit., 2000
Proceedings of the MASCOTS 2000, Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 29 August, 2000
Proceedings of the 5th International Symposium on Parallel Architectures, 2000
An Accurate Analysis of Reliability Parameters in Meshes with Fault-Tolerant Adaptive Routing.
Proceedings of the 5th International Symposium on Parallel Architectures, 2000
Proceedings of the High Performance Computing, Third International Symposium, 2000
Performance Sensitivity of Routing Algorithms to Failures in Networks of Worksations.
Proceedings of the High Performance Computing, Third International Symposium, 2000
On the Influence of the Selection Function on the Performance of Networks of Workstations.
Proceedings of the High Performance Computing, Third International Symposium, 2000
Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing.
Proceedings of the High Performance Computing, Third International Symposium, 2000
Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), 2000
Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), 2000
Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), 2000
Performance evaluation of a new routing strategy for irregular networks with source routing.
Proceedings of the 14th international conference on Supercomputing, 2000
Characterization and Enhancement of Dynamic Mapping Heuristics for Heterogeneous Systems.
Proceedings of the 2000 International Workshop on Parallel Processing, 2000
Proceedings of the 2000 International Conference on Parallel Processing, 2000
On the Design of Communication-Aware Task Scheduling Strategies for Heterogeneous Systems.
Proceedings of the 2000 International Conference on Parallel Processing, 2000
Proceedings of the 2000 International Conference on Parallel Processing, 2000
Proceedings of the 2000 International Conference on Parallel Processing, 2000
Proceedings of the IEEE International Conference on Networks 2000: Networking Trends and Challenges in the New Millennium, 2000
Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, 2000
Characterization and enhancement of Static Mapping Heuristics for Heterogeneous Systems.
Proceedings of the High Performance Computing, 2000
Proceedings of the Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29, 2000
Characterization of Communications between Processes in Message-Passing Applications.
Proceedings of the 2000 IEEE International Conference on Cluster Computing (CLUSTER 2000), November 28th, 2000
Proceedings of the Network-Based Parallel Computing: Communication, 2000
Proceedings of the Network-Based Parallel Computing: Communication, 2000
Proceedings of the Network-Based Parallel Computing: Communication, 2000
Proceedings of the Network-Based Parallel Computing: Communication, 2000
1999
IEEE Trans. Parallel Distributed Syst., 1999
Proceedings of the Seventh Euromicro Workshop on Parallel and Distributed Processing. PDP'99, 1999
A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a NOWEnvironment.
Proceedings of the 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 1999
Improving the performance of bristled CC-NUMA systems using virtual channels and adaptivity.
Proceedings of the 13th international conference on Supercomputing, 1999
Proceedings of the International Conference on Parallel Processing 1999, 1999
Performance Evaluation of Networks of Workstations with Hardware Shared Memory Model Using Execution-Driven Simulation.
Proceedings of the International Conference on Parallel Processing 1999, 1999
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999
Is It Worth the Flexibility Provided by Irregular Topologies in Networks of Workstations?
Proceedings of the Network-Based Parallel Computing: Communication, 1999
Proceedings of the Network-Based Parallel Computing: Communication, 1999
Proceedings of the Network-Based Parallel Computing: Communication, 1999
1998
J. Syst. Archit., 1998
Int. J. Found. Comput. Sci., 1998
Proceedings of the 1998 workshop on Computer architecture education, 1998
Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing, 1998
Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing, 1998
Proceedings of the 1998 International Conference on Parallel Processing Workshops, 1998
Proceedings of the 1998 International Conference on Parallel Processing (ICPP '98), 1998
Impact of Adaptivity on the Behaviour of Networks of Workstations under Bursty Traffic.
Proceedings of the 1998 International Conference on Parallel Processing (ICPP '98), 1998
DRIL: Dynamically Reduced Message Injection Limitation Mechanism for Wormhole Networks.
Proceedings of the 1998 International Conference on Parallel Processing (ICPP '98), 1998
A New Transparent Bridge Protocol for LAN Internetworking using Topologies with Active Loops.
Proceedings of the 1998 International Conference on Parallel Processing (ICPP '98), 1998
Convergence Points on Commercial Parallel Systems: Do We Have the Node Architecture? Do We Have the Network? Do We Have the Programming Paradigm?
Proceedings of the 1998 International Conference on Parallel Processing (ICPP '98), 1998
Proceedings of the Fourth International Symposium on High-Performance Computer Architecture, Las Vegas, Nevada, USA, January 31, 1998
Proceedings of the 5th International Conference On High Performance Computing, 1998
Proceedings of the Computer Performance Evaluation: Modelling Techniques and Tools, 1998
A Tool for the Analysis of Reconfiguration and Routing Algorithms in Irregular Networks.
Proceedings of the Network-Based Parallel Computing: Communication, 1998
1997
IEEE Trans. Parallel Distributed Syst., 1997
Channel Bypassing: A Deadlock-Free Flow Control Policy for Adaptive Routing in Wormhole Networks.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 1997
Multilink extension to support deadlock-free adaptive non-minimal routing.
Proceedings of the Fifth Euromicro Workshop on Parallel and Distributed Processing (PDP '97), 1997
On the Reduction of Deadlock Frequency by Limiting Message Injection in Wormhole Networks.
Proceedings of the Parallel Computer Routing and Communication, 1997
Proceedings of the 11th International Parallel Processing Symposium (IPPS '97), 1997
Software-Based Deadlock Recovery Technique for True Fully Adaptive Routing in Wormhole Networks.
Proceedings of the 1997 International Conference on Parallel Processing (ICPP '97), 1997
Architectural Support for Reducing Communication Overhead in Multiprocessor Interconnection Networks.
Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), 1997
Proceedings of the Fourth International on High-Performance Computing, 1997
Proceedings of the Fourth International on High-Performance Computing, 1997
Interconnection network behavior on a multicomputer in the parallelization of the MPEG coding algorithm. Worm-hole vs. packet-switching routing.
Proceedings of the Fourth International on High-Performance Computing, 1997
Proceedings of the Communication and Architectural Support for Network-Based Parallel Computing, 1997
Interconnection networks - an engineering approach.
IEEE, ISBN: 978-0-8186-7800-4, 1997
1996
A Necessary and Sufficient Condition for Deadlock-Free Routing in Cut-Through and Store-and-Forward Networks.
IEEE Trans. Parallel Distributed Syst., 1996
An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors.
Proceedings of the Eighth IEEE Symposium on Parallel and Distributed Processing, 1996
Interconnection Network Design: A Statistical Analysis of Interactions between Factors.
Proceedings of the 4th Euromicro Workshop on Parallel and Distributed Processing (PDP '96), 1996
Generalized Theory for Deadlock-Free Adaptive Wormhole Routing and its Application to Disha Concurrent.
Proceedings of IPPS '96, 1996
Proceedings of the 1996 International Conference on Parallel Processing, 1996
Proceedings of the Euro-Par '96 Parallel Processing, 1996
1995
A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks.
IEEE Trans. Parallel Distributed Syst., 1995
IEEE Trans. Parallel Distributed Syst., 1995
Comput. Artif. Intell., 1995
Proceedings of the 22nd Annual International Symposium on Computer Architecture, 1995
Software Based Fault-Tolerant Oblivious Routing in Pipelined Networks.
Proceedings of the 1995 International Conference on Parallel Processing, 1995
1994
Parallel Process. Lett., 1994
Improving the efficiency of virtual channels with time-dependent selection functions.
Future Gener. Comput. Syst., 1994
Proceedings of the Second Euromicro Workshop on Parallel and Distributed Processing, 1994
Proceedings of the Parallel Computer Routing and Communication, 1994
Proceedings of the Proceedings 1994 International Conference on Parallel and Distributed Systems, 1994
Proceedings of the Proceedings 1994 International Conference on Parallel and Distributed Systems, 1994
Proceedings of the Proceedings 1994 International Conference on Parallel and Distributed Systems, 1994
Adaptive Unicast and Multicast in 3D Mesh Networks.
Proceedings of the 27th Annual Hawaii International Conference on System Sciences (HICSS-27), 1994
Proceedings of the Workshop on Interconnection Networks and Mapping and Scheduling Parallel Computations, 1994
1993
IEEE Trans. Parallel Distributed Syst., 1993
Parallel Process. Lett., 1993
Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, 1993
Proceedings of the 1993 Euromicro Workshop on Parallel and Distributed Processing, 1993
Deadlock-Free Adaptive Routing Algorithms for the 3D-Torus: Limitations and Solutions.
Proceedings of the PARLE '93, 1993
Proceedings of the PARLE '93, 1993
1992
Parallel Process. Lett., 1992
1991
Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, 1991
Deadlock-free adaptive routing algorithms for multicomputers: evaluation of a new algorithm.
Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, 1991
On the Design of Deadlock-Free Adaptive Routing Algorithms for Multicomputers: Design Methodologies.
Proceedings of the PARLE '91: Parallel Architectures and Languages Europe, 1991
On the Design of Deadlock-Free Adaptive Routing Algorithms for Multicomputers: Theoretical Aspects.
Proceedings of the Distributed Memory Computing, 2nd European Conference, 1991