Jörg Henkel
Orcid: 0000-0001-9602-2922Affiliations:
- Karlsruhe Institute of Technology, Germany
According to our database1,
Jörg Henkel
authored at least 614 papers
between 1993 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2022, "For contributions to hardware/software co-design of power and thermal efficient embedded computing".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
ML-Based Thermal and Cache Contention Alleviation on Clustered Manycores With 3-D HBM.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2024
Balancing Security and Efficiency: System-Informed Mitigation of Power-Based Covert Channels.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2024
NPU-Accelerated Imitation Learning for Thermal Optimization of QoS-Constrained Heterogeneous Multi-Cores.
ACM Trans. Design Autom. Electr. Syst., January, 2024
Energy-Aware Heterogeneous Federated Learning via Approximate Systolic DNN Accelerators.
CoRR, 2024
Corrections to "A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends".
IEEE Access, 2024
A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends.
IEEE Access, 2024
IEEE Access, 2024
LightFAt: Mitigating Control-Flow Explosion via Lightweight PMU-Based Control-Flow Attestation.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2024
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2024
Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024
Proceedings of the 32nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2024
Multi-Agent Reinforcement Learning for Thermally-Restricted Performance Optimization on Manycores.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
2023
IEEE Embed. Syst. Lett., December, 2023
IEEE Embed. Syst. Lett., December, 2023
IEEE Embed. Syst. Lett., December, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023
ACM Trans. Embed. Comput. Syst., October, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023
Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits.
IEEE Trans. Computers, September, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., June, 2023
CoCoFL: Communication- and Computation-Aware Federated Learning via Partial NN Freezing and Quantization.
Trans. Mach. Learn. Res., 2023
Cache-Based Side-Channel Attack Mitigation for Many-Core Distributed Systems via Dynamic Task Migration.
IEEE Trans. Inf. Forensics Secur., 2023
Targeting DNN Inference Via Efficient Utilization of Heterogeneous Precision DNN Accelerators.
IEEE Trans. Emerg. Top. Comput., 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023
ReLIEF: A Reinforcement-Learning-Based Real-Time Task Assignment Strategy in Emerging Fault-Tolerant Fog Computing.
IEEE Internet Things J., 2023
ACM Comput. Surv., 2023
ACM Comput. Surv., 2023
CoRR, 2023
Proceedings of the 29th IEEE Real-Time and Embedded Technology and Applications Symposium, 2023
Aggregating Capacity in FL through Successive Layer Training for Computationally-Constrained Devices.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the International Conference on Microelectronics, 2023
Proceedings of the 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2023
Extended Abstract: Monitoring-based Thermal Management for Mixed-Criticality Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
The First Concept and Real-world Deployment of a GPU-based Thermal Covert Channel: Attack and Countermeasures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Late Breaking Results: Configurable Ring Oscillators as a Side-Channel Countermeasure.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications.
Proceedings of the International Conference on Compilers, 2023
2022
IEEE Trans. Very Large Scale Integr. Syst., 2022
IEEE Trans. Parallel Distributed Syst., 2022
IEEE Trans. Parallel Distributed Syst., 2022
Introduction and Evaluation of Attachability for Mobile IoT Routing Protocols With Markov Chain Analysis.
IEEE Trans. Netw. Serv. Manag., 2022
Energy Efficient Edge Computing Enabled by Satisfaction Games and Approximate Computing.
IEEE Trans. Green Commun. Netw., 2022
IEEE Trans. Emerg. Top. Comput., 2022
ACM Trans. Embed. Comput. Syst., 2022
IEEE Trans. Dependable Secur. Comput., 2022
Bridging the Gap Between Voltage Over-Scaling and Joint Hardware Accelerator-Algorithm Closed-Loop.
IEEE Trans. Circuits Syst. Video Technol., 2022
IEEE Trans. Circuits Syst. II Express Briefs, 2022
IEEE Trans. Circuits Syst. I Regul. Pap., 2022
Energy-Efficient DNN Inference on Approximate Accelerators Through Formal Property Exploration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Computers, 2022
IEEE Trans. Computers, 2022
Impact of NCFET Technology on Eliminating the Cooling Cost and Boosting the Efficiency of Google TPU.
IEEE Trans. Computers, 2022
A Framework for Crossing Temperature-Induced Timing Errors Underlying Hardware Accelerators to the Algorithm and Application Layers.
IEEE Trans. Computers, 2022
CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems.
ACM Trans. Archit. Code Optim., 2022
An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-core Processors.
ACM Trans. Archit. Code Optim., 2022
ARMOR: A Reliable and Mobility-Aware RPL for Mobile Internet of Things Infrastructures.
IEEE Internet Things J., 2022
CoRR, 2022
CoCo-FL: Communication- and Computation-Aware Federated Learning via Partial NN Freezing and Quantization.
CoRR, 2022
A Survey of Fault-Tolerance Techniques for Embedded Systems From the Perspective of Power, Energy, and Thermal Issues.
IEEE Access, 2022
Approximate Decision Trees For Machine Learning Classification on Tiny Printed Circuits.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Thermal- and Cache-Aware Resource Management based on ML- Driven Cache Contention Prediction.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
NPU-Accelerated Imitation Learning for Thermal- and QoS-Aware Optimization of Heterogeneous Multi-Cores.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022
Proceedings of the Approximate Computing, 2022
2021
IEEE Trans. Very Large Scale Integr. Syst., 2021
IEEE Trans. Very Large Scale Integr. Syst., 2021
IEEE Trans. Circuits Syst. II Express Briefs, 2021
IEEE Trans. Circuits Syst. I Regul. Pap., 2021
IEEE Trans. Circuits Syst. I Regul. Pap., 2021
IEEE Trans. Circuits Syst. I Regul. Pap., 2021
Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal Imaging.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
IEEE Trans. Computers, 2021
IEEE Trans. Computers, 2021
IEEE Des. Test, 2021
Minimizing Excess Timing Guard Banding Under Transistor Self-Heating Through Biasing at Zero-Temperature Coefficient.
IEEE Access, 2021
IEEE Access, 2021
Proceedings of the 39th IEEE VLSI Test Symposium, 2021
A Cluster-Based and Drop-aware Extension of RPL to Provide Reliability in IoT Applications.
Proceedings of the IEEE International Systems Conference, 2021
On the Effectiveness of Quantization and Pruning on the Performance of FPGAs-based NN Temperature Estimation.
Proceedings of the 3rd ACM/IEEE Workshop on Machine Learning for CAD, 2021
Proceedings of the 12th IEEE Latin America Symposium on Circuits and System, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
LoopBreaker: Disabling Interconnects to Mitigate Voltage-Based Attacks in Multi-Tenant FPGAs.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Long Short-Term Memory Neural Network-based Power Forecasting of Multi-Core Processors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
SmartBoost: Lightweight ML-Driven Boosting for Thermally-Constrained Many-Core Processors.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Multiple approximate instances in neural processing units for energy-efficient circuit synthesis: work-in-progress.
Proceedings of the CASES '21: Proceedings of the 2021 International Conference on Compilers, Architectures, and Synthesis for Embedded Systems, Virtual Event, October 8, 2021
Proceedings of the A Journey of Embedded and Cyber-Physical Systems, 2021
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
2020
IEEE Trans. Parallel Distributed Syst., 2020
ACM Trans. Design Autom. Electr. Syst., 2020
A Cross-Layer Gate-Level-to-Application Co-Simulation for Design Space Exploration of Approximate Circuits in HEVC Video Encoders.
IEEE Trans. Circuits Syst. Video Technol., 2020
Weight-Oriented Approximation for Energy-Efficient Neural Network Inference Accelerators.
IEEE Trans. Circuits Syst., 2020
IEEE Trans. Circuits Syst. II Express Briefs, 2020
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: A Survey.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Toward Model Checking-Driven Fair Comparison of Dynamic Thermal Management Techniques Under Multithreaded Workloads.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Computers, 2020
Improved Feature Extraction Method for Sound Recognition Applied to Automatic Sorting of Recycling Wastes.
J. Inf. Process., 2020
Hierarchical Classification for Constrained IoT Devices: A Case Study on Human Activity Recognition.
IEEE Internet Things J., 2020
From the EIC: Special Issue on Image Processing, Correspondsing Hardware Architectures, and EDA Tools.
IEEE Des. Test, 2020
Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management.
CoRR, 2020
CoRR, 2020
IEEE Access, 2020
Impacts of Mobility Models on RPL-Based Mobile IoT Infrastructures: An Evaluative Comparison and Survey.
IEEE Access, 2020
Towards NN-based Online Estimation of the Full-Chip Temperature and the Rate of Temperature Change.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020
Comparative Framework for the Analysis of Thermal and Resource Management Algorithms for Multi-Core Architectures.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020
BTI and HCD Degradation in a Complete 32 × 64 bit SRAM Array - including Sense Amplifiers and Write Drivers - under Processor Activity.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Cell Library Characterization using Machine Learning for Design Technology Co-Optimization.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
AxHLS: Design Space Exploration and High-Level Synthesis of Approximate Accelerators using Approximate Functional Units and Analytical Models.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Runtime Accuracy-Configurable Approximate Hardware Synthesis Using Logic Gating and Relaxation.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management: Work-in-Progress.
Proceedings of the International Conference on Compilers, 2020
Towards Quality-Driven Approximate Software Generation for Accurate Hardware: Work-in-Progress.
Proceedings of the International Conference on Compilers, 2020
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
ACM Trans. Internet Techn., 2019
ACM Trans. Embed. Comput. Syst., 2019
IEEE Trans. Circuits Syst. Video Technol., 2019
IEEE Trans. Circuits Syst. II Express Briefs, 2019
Modeling and Mitigating Time-Dependent Variability From the Physical Level to the Circuit Level.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019
Dynamic Guardband Selection: Thermal-Aware Optimization for Unreliable Multi-Core Systems.
IEEE Trans. Computers, 2019
IEEE Trans. Computers, 2019
Application and Thermal-reliability-aware Reinforcement Learning Based Multi-core Power Management.
ACM J. Emerg. Technol. Comput. Syst., 2019
IEEE Internet Things J., 2019
IEEE Embed. Syst. Lett., 2019
IEEE Des. Test, 2019
Thermally Composable Hybrid Application Mapping for Real-Time Applications in Heterogeneous Many-Core Systems.
Proceedings of the IEEE Real-Time Systems Symposium, 2019
Proceedings of the 2019 IEEE Non-Volatile Memory Systems and Applications Symposium, 2019
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Proceedings of the IEEE International Reliability Physics Symposium, 2019
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019
The Impact of Emerging Technologies on Architectures and System-level Management: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019
Proceedings of the International Conference on Computer-Aided Design, 2019
Analyses and architectures for mixed-critical systems: industry trends and research perspective.
Proceedings of the International Conference on Embedded Software Companion, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Hot Spot Identification and System Parameterized Thermal Modeling for Multi-Core Processors Through Infrared Thermal Imaging.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the Approximate Circuits, Methodologies and CAD., 2019
2018
Preemption of the Partial Reconfiguration Process to Enable Real-Time Computing With FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2018
ACM Trans. Embed. Comput. Syst., 2018
ACM Trans. Cyber Phys. Syst., 2018
Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI, and PV.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Recent advances in EM and BTI induced reliability modeling, analysis and optimization (invited).
Integr., 2018
Design and Test of Energy-Efficient, High-Performance, and Secure Computing Technologies via Accelerators.
IEEE Des. Test, 2018
Negative Capacitance Transistor to Address the Fundamental Limitations in Technology Scaling: Processor Performance.
IEEE Access, 2018
Adv. Comput., 2018
Proceedings of the 15th International Conference on Synthesis, 2018
Proceedings of the 12th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2018
Pareto-Optimal Power- and Cache-Aware Task Mapping for Many-Cores with Distributed Shared Last-Level Cache.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018
Weighted time lag plot defect parameter extraction and GPU-based BTI modeling for BTI variability.
Proceedings of the IEEE International Reliability Physics Symposium, 2018
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018
Proceedings of the 36th IEEE International Conference on Computer Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
Design Space Exploration and Run-Time Adaptation for Multicore Resource Management Under Performance and Power Constraints.
Proceedings of the Handbook of Hardware/Software Codesign., 2017
Efficient Partial Online Synthesis of Special Instructions for Reconfigurable Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
IEEE Trans. Parallel Distributed Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Computers, 2017
Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding.
IEEE Trans. Computers, 2017
Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon.
IEEE Trans. Computers, 2017
Fine-Grained Checkpoint Recovery for Application-Specific Instruction-Set Processors.
IEEE Trans. Computers, 2017
IEEE Trans. Computers, 2017
ACM Trans. Archit. Code Optim., 2017
FAMe-TM: Formal analysis methodology for task migration algorithms in Many-Core systems.
Sci. Comput. Program., 2017
J. Real Time Image Process., 2017
Theorem proving based Formal Verification of Distributed Dynamic Thermal Management schemes.
J. Parallel Distributed Comput., 2017
IEEE Embed. Syst. Lett., 2017
IEEE Des. Test, 2017
Computer Engineers' Challenges for the Next Decade: The Triangle of Power Density, Circuit Degradation, and Reliability.
Computer, 2017
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017
Auto-SI: An adaptive reconfigurable processor with run-time loop detection and acceleration.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017
Containing Guardbands: From the Macro to Micro Time Domain.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2017
Shallow Water Waves on a Deep Technology Stack: Accelerating a Finite Volume Tsunami Model Using Reconfigurable Hardware in Invasive Computing.
Proceedings of the Euro-Par 2017: Parallel Processing Workshops, 2017
Proceedings of the 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia, 2017
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Ultra-low power and dependability for IoT devices (Invited paper for IoT technologies).
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
CAnDy-TM: Comparative analysis of dynamic thermal management in many-cores using model checking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Soft error-aware architectural exploration for designing reliability adaptive cache hierarchies in multi-cores.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Emerging (un-)reliability based security threats and mitigations for embedded systems: special session.
Proceedings of the 2017 International Conference on Compilers, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
2016
Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2016
Two-State Checkpointing for Energy-Efficient Fault Tolerance in Hard Real-Time Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
SPMPool: Runtime SPM Management for Memory-Intensive Applications in Embedded Many-Cores.
ACM Trans. Embed. Comput. Syst., 2016
ACM Trans. Embed. Comput. Syst., 2016
Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
IEEE Trans. Computers, 2016
IEEE Trans. Computers, 2016
IEEE Trans. Computers, 2016
Task Mapping for Redundant Multithreading in Multi-Cores with Reliability and Performance Heterogeneity.
IEEE Trans. Computers, 2016
ACM Trans. Archit. Code Optim., 2016
it Inf. Technol., 2016
it Inf. Technol., 2016
IEEE Des. Test, 2016
IEEE Des. Test, 2016
Proceedings of the 3rd IEEE World Forum on Internet of Things, 2016
Cross-Layer Reliability Modeling and Optimization: Compiler and Run-Time System Interactions.
Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems, 2016
An Energy-Efficient Middleware for Computation Offloading in Real-Time Embedded Systems.
Proceedings of the 22nd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2016
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Formal probabilistic analysis of distributed resource management schemes in on-chip systems.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
An area-efficient consolidated configurable error correction for approximate hardware accelerators.
Proceedings of the 53rd Annual Design Automation Conference, 2016
ageOpt-RMT: compiler-driven variation-aware aging optimization for redundant multithreading.
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016
Power and thermal management in massive multicore chips: theoretical foundation meets architectural innovation and resource allocation.
Proceedings of the 2016 International Conference on Compilers, 2016
Springer, ISBN: 978-3-319-25770-9, 2016
2015
ACM Trans. Parallel Comput., 2015
Energy and Peak Power Efficiency Analysis for the Single Voltage Approximation (SVA) Scheme.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
A Reconfigurable Hardware Architecture for Fractional Pixel Interpolation in High Efficiency Video Coding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
J. Syst. Archit., 2015
it Inf. Technol., 2015
Probabilistic Formal Verification Methodology for Decentralized Thermal Management in On-Chip Systems.
Proceedings of the 24th IEEE International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises, 2015
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015
DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the IEEE International Reliability Physics Symposium, 2015
Proceedings of the 10th International Design & Test Symposium, 2015
STRAP: Stress-Aware Placement for Aging Mitigation in Runtime Reconfigurable Architectures.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015
Floating point acceleration for stream processing applications in dynamically reconfigurable processors.
Proceedings of the 13th IEEE Symposium on Embedded Systems For Real-time Multimedia, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Online binding of applications to multiple clock domains in shared FPGA-based systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
MatEx: efficient transient and peak temperature computation for compact thermal models.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
ACSEM: accuracy-configurable fast soft error masking analysis in combinatorial circuits.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
A deblocking filter hardware architecture for the high efficiency video coding standard.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015
dsReliM: Power-constrained reliability management in Dark-Silicon many-core chips under process variations.
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015
R<sup>2</sup>Cache: Reliability-aware reconfigurable last-level cache architecture for multi-cores.
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015
Proceedings of the 2015 International Conference on Compilers, 2015
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Resilience Articulation Point (RAP): Cross-layer dependability modeling for nanometer system-on-chip resilience.
Microelectron. Reliab., 2014
Selected Peer-Reviewed Articles from the 4th European Workshop on CMOS Variability, Karlsruhe, Germany, September 9-11, 2013.
J. Low Power Electron., 2014
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014
High-speed enoding/decoding technique for reliable data transmission in wireless sensor networks.
Proceedings of the Eleventh Annual IEEE International Conference on Sensing, 2014
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014
Power- and area-efficient Approximate Wallace Tree Multiplier for error-resilient systems.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
Content-driven memory pressure balancing and video memory power management for parallel high efficiency video coding.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Peak Power Management for scheduling real-time tasks on heterogeneous many-core systems.
Proceedings of the 20th IEEE International Conference on Parallel and Distributed Systems, 2014
Power efficient and workload balanced tiling for parallelized high efficiency video coding.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
Formal Verification of Distributed Task Migration for Thermal Management in On-Chip Multi-core Systems Using nuXmv.
Proceedings of the Formal Techniques for Safety-Critical Systems, 2014
Run-time accelerator binding for tile-based mixed-grained reconfigurable architectures.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014
Proceedings of the 2014 Forum on Specification and Design Languages, 2014
dSVM: Energy-efficient distributed Scratchpad Video Memory Architecture for the next-generation High Efficiency Video Coding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Compiler-driven dynamic reliability management for on-chip systems under variabilities.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
hevcDTM: Application-driven Dynamic Thermal Management for High Efficiency Video Coding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Software architecture of High Efficiency Video Coding for many-core systems with power-efficient workload balancing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
dTune: Leveraging Reliable Code Generation for Adaptive Dependability Tuning under Process Variation and Aging-Induced Effects.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
ASER: Adaptive Soft Error Resilience for Reliability-Heterogeneous Processors in the Dark Silicon Era.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
darkNoC: Designing Energy-Efficient Network-on-Chip with Multi-Vt Cells for Dark Silicon.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014
TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon.
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014
Proceedings of the 2014 International Conference on Compilers, 2014
COREFAB: Concurrent reconfigurable fabric utilization in heterogeneous multi-core systems.
Proceedings of the 2014 International Conference on Compilers, 2014
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
2013
IEEE Trans. Ind. Informatics, 2013
Model Predictive Hierarchical Rate Control With Markov Decision Process for Multiview Video Coding.
IEEE Trans. Circuits Syst. Video Technol., 2013
IEEE Trans. Computers, 2013
Proceedings of the International Workshop on Software and Compilers for Embedded Systems, 2013
Reliable code generation and execution on unreliable hardware under joint functional and timing reliability considerations.
Proceedings of the 19th IEEE Real-Time and Embedded Technology and Applications Symposium, 2013
Fast HEVC intra mode decision algorithm based on new evaluation order in the Coding Tree Block.
Proceedings of the 30th Picture Coding Symposium, 2013
Module diversification: Fault tolerance and aging mitigation for runtime reconfigurable architectures.
Proceedings of the 2013 IEEE International Test Conference, 2013
Content-driven adaptive computation offloading for energy-aware hybrid distributed video coding.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013
Content-adaptive reference frame compression based on intra-frame prediction for multiview video coding.
Proceedings of the IEEE International Conference on Image Processing, 2013
An adaptive complexity reduction scheme with fast prediction unit decision for HEVC intra encoding.
Proceedings of the IEEE International Conference on Image Processing, 2013
Proceedings of the IEEE International Conference on Image Processing, 2013
High-throughput interpolation hardware architecture with coarse-grained reconfigurable datapaths for HEVC.
Proceedings of the IEEE International Conference on Image Processing, 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
MOMA: mapping of memory-intensive software-pipelined applications for systems with multiple memory controllers.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
ISOMER: integrated selection, partitioning, and placement methodology for reconfigurable architectures.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
DHASER: dynamic heterogeneous adaptation for soft-error resiliency in ASIP-based multi-core systems.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013
Proceedings of the 11th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2013
Proceedings of the 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Energy-efficient memory hierarchy for motion and disparity estimation in multiview video coding.
Proceedings of the Design, Automation and Test in Europe, 2013
Leveraging variable function resilience for selective software reliability on unreliable hardware.
Proceedings of the Design, Automation and Test in Europe, 2013
CSER: HW/SW configurable soft-error resiliency for application specific instruction-set processors.
Proceedings of the Design, Automation and Test in Europe, 2013
Hardware-software collaborative complexity reduction scheme for the emerging HEVC intra encoder.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
DANCE: distributed application-aware node configuration engine in shared reconfigurable sensor networks.
Proceedings of the Design, Automation and Test in Europe, 2013
Adaptive cache management for a combined SRAM and DRAM cache hierarchy for multi-cores.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Exploiting program-level masking and error propagation for constrained reliability optimization.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013
Reducing inter-core cache contention with an adaptive bank mapping policy in DRAM cache.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013
Proceedings of the International Conference on Compilers, 2013
Simultaneously optimizing DRAM cache hit latency and miss rate via novel set mapping policies.
Proceedings of the International Conference on Compilers, 2013
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
3D Video Coding for Embedded Devices - Energy Efficient Algorithms and Architectures.
Springer, ISBN: 978-1-4614-6758-8, 2013
2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
ECO/ee: Energy-aware Collaborative Organic execution environment for wireless sensor networks.
Proceedings of the 2012 IEEE Wireless Communications and Networking Conference, 2012
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012
A complexity reduction scheme with adaptive search direction and mode elimination for multiview video coding.
Proceedings of the 2012 Picture Coding Symposium, 2012
Work in Progress: Malleable Software Pipelines for Efficient Many-core System Utilization.
Proceedings of the 6th Many-core Applications Research Community (MARC) Symposium. Proceedings of the 6th MARC Symposium, 2012
An adaptive data gathering strategy for target tracking in cluster-based wireless sensor networks.
Proceedings of the 2012 IEEE Symposium on Computers and Communications, 2012
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012
A Reconfigurable Hardware Accelerated Platform for Clustered Wireless Sensor Networks.
Proceedings of the 18th IEEE International Conference on Parallel and Distributed Systems, 2012
A Model Predictive Controller for Frame-Level Rate Control in Multiview Video Coding.
Proceedings of the 2012 IEEE International Conference on Multimedia and Expo, 2012
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012
Dependable embedded systems: The German research foundation DFG priority program SPP 1500.
Proceedings of the 17th IEEE European Test Symposium, 2012
Accurate source-level simulation of embedded software with respect to compiler optimizations.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Power-efficient error-resiliency for H.264/AVC Context-Adaptive Variable Length Coding.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
A hierarchical control scheme for energy quota distribution in hybrid distributed video coding.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012
OTERA: Online test strategies for reliable reconfigurable architectures - Invited paper for the AHS-2012 special session "Dependability by reconfigurable hardware".
Proceedings of the 2012 NASA/ESA Conference on Adaptive Hardware and Systems, 2012
2011
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011
Agent-Based Thermal Management Using Real-Time I/O Communication Relocation for 3D Many-Cores.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011
Dependable Embedded Systems - Introduction and overview of the DFG SPP-1500.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2011
Proceedings of the 3rd Many-core Applications Research Community (MARC) Symposium. Proceedings of the 3rd MARC Symposium, 2011
RDTS: A Reliable Erasure-Coding Based Data Transfer Scheme for Wireless Sensor Networks.
Proceedings of the 17th IEEE International Conference on Parallel and Distributed Systems, 2011
Proceedings of the 18th IEEE International Conference on Image Processing, 2011
Proceedings of the 18th IEEE International Conference on Image Processing, 2011
Revc: Computationally Reliable Video Coding on unreliable hardware platforms: A case study on error-tolerant H.264/AVC CAVLC entropy coding.
Proceedings of the 18th IEEE International Conference on Image Processing, 2011
A low-power memory architecture with application-aware power management for motion & disparity estimation in Multiview Video Coding.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
System-level application-aware dynamic power management in adaptive pipelined MPSoCs for multimedia.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Run-Time Resource Allocation for Simultaneous Multi-tasking in Multi-core Reconfigurable Processors.
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011
Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding.
Proceedings of the Design, Automation and Test in Europe, 2011
Minority-Game-based resource allocation for run-time reconfigurable multi-core processors.
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Dynamic thermal management in 3D multi-core architecture through run-time adaptation.
Proceedings of the Design, Automation and Test in Europe, 2011
mRTS: Run-time system for reconfigurable processors with multi-grained instruction-set extensions.
Proceedings of the Design, Automation and Test in Europe, 2011
Run-time adaptive energy-aware motion and disparity estimation in multiview video coding.
Proceedings of the 48th Design Automation Conference, 2011
Low-power adaptive pipelined MPSoCs for multimedia: an H.264 video encoder case study.
Proceedings of the 48th Design Automation Conference, 2011
SEAL: soft error aware low power scheduling by Monte Carlo state space under the influence of stochastic spatial and temporal dependencies.
Proceedings of the 48th Design Automation Conference, 2011
Reliable software for unreliable hardware: embedded code generation aiming at reliability.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
Adaptive resource management for simultaneous multitasking in mixed-grained reconfigurable multi-core processors.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
A heterogeneous SoC architecture with embedded virtual FPGA cores and runtime Core Fusion.
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011
Concepts, architectures, and run-time systems for efficient and adaptive reconfigurable processors.
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011
Proceedings of the Multiprocessor System-on-Chip - Hardware Design and Tool Integration., 2011
Proceedings of the Organic Computing - A Paradigm Shift for Complex Systems, 2011
Proceedings of the Organic Computing - A Paradigm Shift for Complex Systems, 2011
Springer, ISBN: 978-1-4419-9691-6, 2011
Springer, ISBN: 978-1-4419-7411-2, 2011
2010
Optimizing the H.264/AVC Video Encoder Application Structure for Reconfigurable and Application-Specific Platforms.
J. Signal Process. Syst., 2010
ACM Trans. Design Autom. Electr. Syst., 2010
Call for papers ACM transactions on design automation of electronic systems (TODAES) special section on low-power electronics and design.
ACM Trans. Design Autom. Electr. Syst., 2010
ACM Trans. Design Autom. Electr. Syst., 2010
Runtime Thermal Management Using Software Agents for Multi- and Many-Core Architectures.
IEEE Des. Test Comput., 2010
Proceedings of the Picture Coding Symposium, 2010
Proceedings of the Picture Coding Symposium, 2010
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
SETS: Stochastic execution time scheduling for multicore systems by joint state space and Monte Carlo.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
Proceedings of the 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia, 2010
An HVS-based Adaptive Computational Complexity Reduction Scheme for H.264/AVC video encoder using Prognostic Early Mode Exclusion.
Proceedings of the Design, Automation and Test in Europe, 2010
enBudget: A Run-Time Adaptive Predictive Energy-Budgeting scheme for energy-aware Motion Estimation in H.264/MPEG-4 AVC video encoder.
Proceedings of the Design, Automation and Test in Europe, 2010
KAHRISMA: A novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array architecture.
Proceedings of the Design, Automation and Test in Europe, 2010
DAGS: Distribution agnostic sequential Monte Carlo scheme for task execution time estimation.
Proceedings of the Design, Automation and Test in Europe, 2010
RMOT: Recursion in model order for task execution time estimation in a software pipeline.
Proceedings of the Design, Automation and Test in Europe, 2010
NeuroNoC: neural network inspired runtime adaptation for an on-chip communication architecture.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010
2009
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009
Non-linear rate control for H.264/AVC video encoder with multiple picture types using image-statistics and motion-based Macroblock Prioritization.
Proceedings of the International Conference on Image Processing, 2009
REMiS: Run-time energy minimization scheme in a reconfigurable processor with dynamic power-gated instruction set.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
A parallel approach for high performance hardware design of intra prediction in H.264/AVC Video Codec.
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
LICT: left-uncompressed instructions compression technique to improve the decoding performance of VLIW processors.
Proceedings of the 46th Design Automation Conference, 2009
MinDeg: a performance-guided replacement policy for run-time reconfigurable accelerators.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009
2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
Efficient Resource Utilization for an Extensible Processor Through Dynamic Instruction Set Adaptation.
IEEE Trans. Very Large Scale Integr. Syst., 2008
Int. J. Parallel Program., 2008
Int. J. Parallel Program., 2008
3-tier dynamically adaptive power-aware motion estimator for h.264/AVC video encoding.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
A computation- and communication- infrastructure for modular special instructions in a dynamically reconfigurable processor.
Proceedings of the FPL 2008, 2008
Minimizing Virtual Channel Buffer for Routers in On-chip Communication Architectures.
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
ADAM: run-time agent-based distributed application mapping for on-chip communication.
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
2007
Proceedings of the First International Conference on Self-Adaptive and Self-Organizing Systems, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
An Optimized Application Architecture of the H.264 Video Encoder for Application Specific Platforms.
Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 44th Design Automation Conference, 2007
Proceedings of the 44th Design Automation Conference, 2007
Transaction Specific Virtual Channel Allocation in QoS Supported On-chip Communication.
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007
2006
Distance-based recent use (DRU): an enhancement to instruction cache replacement policies for transition energy reduction.
IEEE Trans. Very Large Scale Integr. Syst., 2006
ACM Trans. Embed. Comput. Syst., 2006
Using Shiftable Content Addressable Memories to Double Memory Capacity on Embedded Systems.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006
Proceedings of the ARCS 2006, 2006
2005
Instruction code mapping for performance increase and energy reduction in embedded computer systems.
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Signal Process. Mag., 2005
IEEE Des. Test Comput., 2005
A Unified Architecture for Adaptive Compression of Data and Code on Embedded Systems.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 2005 IEEE International Conference on Multimedia and Expo, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
Cypress: Compression and Encryption of Data and Code for Embedded Multimedia Systems.
IEEE Des. Test Comput., 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
A quantitative study and estimation models for extensible instructions in embedded processors.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
MINCE: Matching INstructions Using Combinational Equivalence for Extensible Processor.
Proceedings of the 2004 Design, 2004
2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
Computer, 2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
LRU-SEQ: A Novel Replacement Policy for Transition Energy Reduction in Instruction Caches.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
INSIDE: INstruction Selection/Identification & Design Exploration for Extensible Processors.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 2003 Design, 2003
CoCo: a hardware/software platform for rapid prototyping of code compression technologies.
Proceedings of the 40th Design Automation Conference, 2003
Multi-parametric improvements for embedded systems using code-placement and address bus coding.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003
Proceedings of the Embedded Software for SoC, 2003
2002
Avalanche: an environment for design space exploration and optimization of low-power embedded systems.
IEEE Trans. Very Large Scale Integr. Syst., 2002
Instruction-based system-level power evaluation of system-on-a-chip peripheral cores.
IEEE Trans. Very Large Scale Integr. Syst., 2002
System-level exploration for Pareto-optimal configurations in parameterized system-on-a-chip.
IEEE Trans. Very Large Scale Integr. Syst., 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the 2002 Design, 2002
Dynamic Runtime Re-Scheduling Allowing Multiple Implementations of a Task for Platform-Based Designs.
Proceedings of the 2002 Design, 2002
Design of an one-cycle decompression hardware for performance increase in embedded systems.
Proceedings of the 39th Design Automation Conference, 2002
1-cycle code decompression circuitry for performance increase of Xtensa-1040-based embedded systems.
Proceedings of the IEEE 2002 Custom Integrated Circuits Conference, 2002
2001
An approach to automated hardware/software partitioning using a flexible granularity that is driven by high-level estimation techniques.
IEEE Trans. Very Large Scale Integr. Syst., 2001
Evaluating power consumption of parameterized cache and bus architectures in system-on-a-chip designs.
IEEE Trans. Very Large Scale Integr. Syst., 2001
Design and simulation of a pipelined decompression architecture for embedded systems.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
I-CoPES: Fast Instruction Code Placement for Embedded Systems to Improve Performance and Energy Efficiency.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
System-Level Exploration for Pareto-Optimal Configurations in Parameterized Systems-on-a-Chip.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the 38th Design Automation Conference, 2001
Proceedings of ASP-DAC 2001, 2001
2000
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000
Proceedings of the Data Compression Conference, 2000
Proceedings of the 2000 Design, 2000
Proceedings of the 37th Conference on Design Automation, 2000
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000
Proceedings of ASP-DAC 2000, 2000
1999
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999
A Methodology for Minimizing Power Dissipation of Embedded Systems through Hardware/Software Partitioning.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999
Proceedings of the 36th Conference on Design Automation, 1999
1998
A Framework for Estimation and Minimizing Energy Dissipation of Embedded HW/SW Systems.
Proceedings of the 35th Conference on Design Automation, 1998
Energy-conscious HW/SW-partitioning of embedded systems: a case study on an MPEG-2 encoder.
Proceedings of the Sixth International Workshop on Hardware/Software Codesign, 1998
Proceedings of the ASP-DAC '98, 1998
1997
Proceedings of the 34st Conference on Design Automation, 1997
1996
Automatisierte Hardware-Software-Partitionierung im Entwurf integrierter Echtzeitsysteme.
PhD thesis, 1996
Microprocess. Microsystems, 1996
Proceedings of the Forth International Workshop on Hardware/Software Codesign, 1996
1995
Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), 1995
1994
Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994
1993
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993