Jongeun Lee
Orcid: 0000-0003-1523-2974
According to our database1,
Jongeun Lee
authored at least 110 papers
between 2000 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Intell. Serv. Robotics, January, 2024
IEEE Trans. Robotics, 2024
Cold-start Bundle Recommendation via Popularity-based Coalescence and Curriculum Heating.
Proceedings of the ACM on Web Conference 2024, 2024
Extending Neural Processing Unit and Compiler for Advanced Binarized Neural Networks.
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
Proceedings of the 6th IEEE International Conference on AI Circuits and Systems, 2024
2023
Partial Sum Quantization for Reducing ADC Size in ReRAM-Based Neural Network Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023
Offline Training-Based Mitigation of IR Drop for ReRAM-Based Deep Neural Network Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023
Accurate Cold-start Bundle Recommendation via Popularity-based Coalescence and Curriculum Heating.
CoRR, 2023
IEEE Access, 2023
Aggregately Diversified Bundle Recommendation via Popularity Debiasing and Configuration-Aware Reranking.
Proceedings of the Advances in Knowledge Discovery and Data Mining, 2023
Accelerating Transformers with Fourier-Based Attention for Efficient On-Device Inference.
Proceedings of the 20th International SoC Design Conference, 2023
Hyperdimensional Computing as a Rescue for Efficient Privacy-Preserving Machine Learning-as-a-Service.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
NTT-PIM: Row-Centric Architecture and Mapping for Efficient Number-Theoretic Transform on PIM.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
MLogNet: A Logarithmic Quantization-Based Accelerator for Depthwise Separable Convolution.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Diagnosis-informed connectivity subtyping discovers subgroups of autism with reproducible symptom profiles.
NeuroImage, 2022
Accurate Prediction of ReRAM Crossbar Performance Under I-V Nonlinearity and IR Drop.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022
Squeezing Accumulators in Binary Neural Networks for Extremely Resource-Constrained Applications.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the Computer Vision - ECCV 2022, 2022
Proceedings of the 31st ACM International Conference on Information & Knowledge Management, 2022
Proceedings of the 33rd British Machine Vision Conference 2022, 2022
Multi-Fidelity Nonideality Simulation and Evaluation Framework for Resistive Neuromorphic Computing.
Proceedings of the 56th Asilomar Conference on Signals, Systems, and Computers, ACSSC 2022, Pacific Grove, CA, USA, October 31, 2022
2021
Proceedings of the 39th IEEE International Conference on Computer Design, 2021
Quarry: Quantization-based ADC Reduction for ReRAM-based Deep Neural Network Accelerators.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
NP-CGRA: Extending CGRAs for Efficient Processing of Light-weight Deep Neural Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Cost- and Dataset-free Stuck-at Fault Mitigation for ReRAM-based Deep Learning Accelerators.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021
2020
IEEE Robotics Autom. Lett., 2020
IEEE Access, 2020
IEEE Access, 2020
SparTANN: sparse training accelerator for neural networks with threshold-based sparsification.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020
Architecture-Accuracy Co-optimization of ReRAM-based Low-cost Neural Network Processor.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
Learning to Predict IR Drop with Effective Training for ReRAM-based Neural Network Hardware.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
2019
Double MAC on a DSP: Boosting the Performance of Convolutional Neural Networks on FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
Neural Networks, 2019
RRNet: Repetition-Reduction Network for Energy Efficient Decoder of Depth Estimation.
CoRR, 2019
Successive Log Quantization for Cost-Efficient Neural Networks Using Stochastic Computing.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
XOMA: exclusive on-chip memory architecture for energy-efficient deep learning acceleration.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
On-chip memory optimization for high-level synthesis of multi-dimensional data on FPGA.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
2018
An Efficient and Accurate Stochastic Number Generator Using Even-Distribution Coding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018
Architecture Exploration of Standard-Cell and FPGA-Overlay CGRAs Using the Open-Source CGRA-ME Framework.
Proceedings of the 2018 International Symposium on Physical Design, 2018
Proceedings of the International Conference on Field-Programmable Technology, 2018
Sign-magnitude SC: getting 10X accuracy for free in stochastic computing for deep neural networks.
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
Proceedings of the Biomimetic and Biohybrid Systems - 6th International Conference, 2017
Accurate and Efficient Stochastic Computing Hardware for Convolutional Neural Networks.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the International Conference on Field Programmable Technology, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Double MAC: Doubling the performance of convolutional neural networks on modern FPGAs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
A New Stochastic Computing Multiplier with Application to Deep Convolutional Neural Networks.
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Proceedings of the International SoC Design Conference, 2016
Efficient FPGA acceleration of Convolutional Neural Networks using logical-3D compute array.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Dynamic energy-accuracy trade-off using stochastic computing in deep neural networks.
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 2016 International Symposium on Code Generation and Optimization, 2016
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
2014
ACM Trans. Design Autom. Electr. Syst., 2014
Design and optimization for embedded and real-time computing systems and applications.
J. Syst. Archit., 2014
Proceedings of the SIGPLAN/SIGBED Conference on Languages, 2014
Efficient Software-Based Runtime Binary Translation for Coarse-Grained Reconfigurable Architectures.
Proceedings of the 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, 2014
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014
2013
ACM Trans. Design Autom. Electr. Syst., 2013
ACM Trans. Embed. Comput. Syst., 2013
Evaluator-executor transformation for efficient pipelining of loops with conditionals.
ACM Trans. Archit. Code Optim., 2013
A 1.2 V 30 nm 3.2 Gb/s/pin 4 Gb DDR4 SDRAM With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme.
IEEE J. Solid State Circuits, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
ACM Trans. Embed. Comput. Syst., 2012
ACM Trans. Archit. Code Optim., 2012
A 1.2V 30nm 3.2Gb/s/pin 4Gb DDR4 SDRAM with dual-error detection and PVT-tolerant data-fetch scheme.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012
Software-managed automatic data sharing for Coarse-Grained Reconfigurable coprocessors.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Exploiting Both Pipelining and Data Parallelism with SIMD Reconfigurable Architecture.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012
2011
Memory access optimization in compilation for coarse-grained reconfigurable architectures.
ACM Trans. Design Autom. Electr. Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Softw. Pract. Exp., 2011
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011
I<sup>2</sup>CRF: Incremental interconnect customization for embedded reconfigurable fabrics.
Proceedings of the Design, Automation and Test in Europe, 2011
2010
A Compiler-Microarchitecture Hybrid Approach to Soft Error Reduction for Register Files.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
SIGARCH Comput. Archit. News, 2010
Cache vulnerability equations for protecting data in embedded processor caches from soft errors.
Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, 2010
Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, 2010
Proceedings of the High Performance Embedded Architectures and Compilers, 2010
2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
2008
Evaluating memory architectures for media applications on Coarse-grained Reconfigurable Architectures.
Int. J. Embed. Syst., 2008
SDRM: Simultaneous Determination of Regions and Function-to-Region Mapping for Scratchpad Memories.
Proceedings of the High Performance Computing, 2008
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008
Proceedings of the International Conference on Advances in Computer Entertainment Technology, 2008
2007
Instruction set synthesis with efficient instruction encoding for configurable processors.
ACM Trans. Design Autom. Electr. Syst., 2007
2004
Expert Syst. Appl., 2004
2003
IEEE Des. Test Comput., 2003
Proceedings of the 2003 Conference on Languages, 2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Evaluating Memory Architectures for Media Applications on Coarse-Grained Recon.gurable Architectures.
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003
2002
Efficient instruction encoding for automatic instruction set design of configurable ASIPs.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002
2000
Proceedings of the 2000 Design, 2000