John Wawrzynek
Affiliations:- University of California, Berkeley, USA
According to our database1,
John Wawrzynek
authored at least 116 papers
between 1984 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
On csauthors.net:
Bibliography
2024
Synthesis of LUT Networks for Random-Looking Dense Functions with Don't Cares - Towards Efficient FPGA Implementation of DNN.
Proceedings of the 32nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
2023
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023
Narrowing the Synthesis Gap: Academic FPGA Synthesis is Catching Up With the Industry.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
2022
Learning A Continuous and Reconstructible Latent Space for Hardware Accelerator Design.
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022
2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021
2020
Proceedings of the VLSI-SoC: Design Trends, 2020
AutoPhase: Juggling HLS Phase Orderings in Random Forests with Deep Reinforcement Learning.
Proceedings of the Third Conference on Machine Learning and Systems, 2020
2019
IEEE Trans. Computers, 2019
AutoPhase: Compiler Phase-Ordering for High Level Synthesis with Deep Reinforcement Learning.
CoRR, 2019
Proceedings of the 2019 IEEE Wireless Communications and Networking Conference, 2019
Proceedings of the Fifth Workshop on Energy Efficient Machine Learning and Cognitive Computing, 2019
Centrifuge: Evaluating full-system HLS-generated heterogenous-accelerator SoCs using FPGA-Acceleration.
Proceedings of the International Conference on Computer-Aided Design, 2019
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019
GraphSAR: a sparsity-aware processing-in-memory architecture for large-scale graph processing on ReRAMs.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
2018
Proceedings of the 2018 Conference of the ACM Special Interest Group on Data Communication, 2018
Proceedings of the 29th IEEE Annual International Symposium on Personal, 2018
NewGraph: Balanced Large-Scale Graph Processing on FPGAs with Low Preprocessing Overheads.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018
2017
Proceedings of the 3rd International Workshop on Overlay Architectures for FPGAs (OLAF 2017).
CoRR, 2017
Proceedings of the 2017 International Conference on Indoor Positioning and Indoor Navigation, 2017
Proceedings of the 26th International Conference on Computer Communication and Networks, 2017
Synthesis of program binaries into FPGA accelerators with runtime dependence validation.
Proceedings of the International Conference on Field Programmable Technology, 2017
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017
Proceedings of the 25th European Signal Processing Conference, 2017
2016
Proceedings of the 2nd International Workshop on Overlay Architectures for FPGAs (OLAF 2016).
CoRR, 2016
Proceedings of the 2016 International Wireless Communications and Mobile Computing Conference (IWCMC), 2016
Proceedings of the International Conference on Indoor Positioning and Indoor Navigation, 2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016
2015
ASTRO: Synthesizing application-specific reconfigurable hardware traces to exploit memory-level parallelism.
Microprocess. Microsystems, 2015
Proceedings of the 7th USENIX Workshop on Hot Topics in Storage and File Systems, 2015
2014
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
2013
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2013
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013
2012
Selectively Fortifying Reconfigurable Computing Device to Achieve Higher Error Resilience.
J. Electr. Comput. Eng., 2012
Int. J. Reconfigurable Comput., 2012
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
Proceedings of the 13th IEEE International Symposium on High-Assurance Systems Engineering, 2011
Should the academic community launch an open-source FPGA device and tools effort?: evening panel.
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011
2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
Cascading Deep Pipelines to Achieve High Throughput in Numerical Reduction Operations.
Proceedings of the ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, 2010
Proceedings of the ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, 2010
<i>ParaLearn</i>: a massively parallel, scalable system for learning interaction networks on FPGAs.
Proceedings of the 24th International Conference on Supercomputing, 2010
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010
2009
Proceedings of the ACM/IEEE Conference on High Performance Computing, 2009
Proceedings of the Third International Symposium on Networks-on-Chips, 2009
2008
2007
Proceedings of the FPL 2007, 2007
Proceedings of the FPL 2007, 2007
2006
Microprocess. Microsystems, 2006
Microprocess. Microsystems, 2006
Proceedings of the 2006 IEEE Hot Chips 18 Symposium (HCS), 2006
2005
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005
The Design And Application Of A High-End Reconfigurable Computing System.
Proceedings of The 2005 International Conference on Engineering of Reconfigurable Systems and Algorithms, 2005
2004
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004
2003
Guest Editors' Introduction: Hot Chips 14 - Innovation in the Face of Uncertain Economics.
IEEE Micro, 2003
Proceedings of the 2003 IEEE International Conference on Acoustics, 2003
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2003
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2003
2002
Analysis of quasi-static scheduling techniques in a virtualized reconfigurable machine.
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2002
The Effects of Datapath Placement and C-Slow Retiming on Three Computational Benchmarks.
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002
2001
Proceedings of the Network and Operating System Support for Digital Audio and Video, 2001
2000
Proceedings of the Field-Programmable Logic and Applications, 2000
Proceedings of the 2000 International Conference on Compilers, 2000
A Comparison of the AES Candidates Amenability to FPGA Implementation.
Proceedings of the Third Advanced Encryption Standard Candidate Conference, 2000
1999
JPEG Quality Transcoding Using Neural Networks Trained With a Perceptual Error Measure.
Neural Comput., 1999
Proceedings of the 1999 IEEE International Conference on Acoustics, 1999
Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 1999
Proceedings of the 36th Conference on Design Automation, 1999
1998
Proceedings of the Field-Programmable Logic and Applications, 1998
Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, 1998
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998
1997
IEEE J. Solid State Circuits, 1997
Proceedings of the 5th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97), 1997
Proceedings of the 5th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97), 1997
1996
Proceedings of the Advances in Neural Information Processing Systems 9, 1996
1995
SPERT-II: A Vector Microprocessor System and its Application to Large Problems in Backpropagation Training.
Proceedings of the Advances in Neural Information Processing Systems 8, 1995
Proceedings of the Advances in Neural Information Processing Systems 8, 1995
Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI '95), 1995
1994
1993
J. VLSI Signal Process., 1993
IEEE Trans. Neural Networks, 1993
1992
Proceedings of the Application Specific Array Processors, 1992
1991
Proceedings of the 28th Design Automation Conference, 1991
Fine-Grain Parallelism with Minimal Hardware Support: A Compiler-Controlled Threaded Abstract Machine.
Proceedings of the ASPLOS-IV Proceedings, 1991
1990
Proceedings of the 1990 International Computer Music Conference, 1990
Proceedings of the 1990 International Computer Music Conference, 1990
1989
Proceedings of the 1989 International Computer Music Conference, 1989
1987
1984
Proceedings of the 1984 International Computer Music Conference, 1984