Jishen Zhao
Orcid: 0000-0002-5616-6611
According to our database1,
Jishen Zhao
authored at least 109 papers
between 2010 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Proc. ACM Manag. Data, February, 2024
Intellectual Property Protection of Deep-Learning Systems via Hardware/Software Co-Design.
IEEE Des. Test, 2024
A Step Towards Mixture of Grader: Statistical Analysis of Existing Automatic Evaluation Metrics.
CoRR, 2024
CoRR, 2024
CoRR, 2024
GeoT: Tensor Centric Library for Graph Neural Network via Efficient Segment Reduction on GPU.
CoRR, 2024
CoRR, 2024
Proceedings of the 33rd ACM SIGSOFT International Symposium on Software Testing and Analysis, 2024
Proceedings of the 38th ACM International Conference on Supercomputing, 2024
Proceedings of the IEEE International Conference on Robotics and Automation, 2024
Proceedings of the Document Analysis and Recognition - ICDAR 2024 - 18th International Conference, Athens, Greece, August 30, 2024
Proceedings of the Findings of the Association for Computational Linguistics, 2024
2023
COLA: Characterizing and Optimizing the Tail Latency for Safe Level-4 Autonomous Vehicle Systems.
CoRR, 2023
Proceedings of the 32nd USENIX Security Symposium, 2023
HyperGef: A Framework Enabling Efficient Fusion for Hypergraph Neural Network on GPUs.
Proceedings of the Sixth Conference on Machine Learning and Systems, 2023
Proceedings of the International Symposium on Memory Systems, 2023
Interpretable and Flexible Target-Conditioned Neural Planners For Autonomous Vehicles.
Proceedings of the IEEE International Conference on Robotics and Automation, 2023
Everyone's Preference Changes Differently: A Weighted Multi-Interest Model For Retrieval.
Proceedings of the International Conference on Machine Learning, 2023
TripLe: Revisiting Pretrained Model Reuse and Progressive Learning for Efficient Vision Transformer Scaling and Searching.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023
2022
Every Preference Changes Differently: Neural Multi-Interest Preference Model with Temporal Dynamics for Recommendation.
CoRR, 2022
Enabling Efficient Large-Scale Deep Learning Training with Cache Coherent Disaggregated Memory Systems.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
Proceedings of the 2022 5th International Conference on Computational Intelligence and Intelligent Systems, 2022
Proceedings of the APSys '22: 13th ACM SIGOPS Asia-Pacific Workshop on Systems, Virtual Event, Singapore, August 23, 2022
Learning Bounded Context-Free-Grammar via LSTM and the Transformer: Difference and the Explanations.
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022
Q-gym: An Equality Saturation Framework for DNN Inference Exploiting Weight Repetition.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2022
2021
Ayudante: A Deep Reinforcement Learning Approach to Assist Persistent Memory Programming.
Proceedings of the 2021 USENIX Annual Technical Conference, 2021
Suraksha: A Framework to Analyze the Safety Implications of Perception Design Choices in AVs.
Proceedings of the 32nd IEEE International Symposium on Software Reliability Engineering, 2021
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021
Learn-to-Share: A Hardware-friendly Transfer Learning Framework Exploiting Computation and Parameter Sharing.
Proceedings of the 38th International Conference on Machine Learning, 2021
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021
Proceedings of the IEEE International Conference on Acoustics, 2021
Suraksha: A Quantitative AV Safety Evaluation Framework to Analyze Safety Implications of Perception Design Choices.
Proceedings of the 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2021
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
2020
IEEE Micro, 2020
Efficient Implementation of Multi-Channel Convolution in Monolithic 3D ReRAM Crossbar.
CoRR, 2020
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020
Safety Score: A Quantitative Approach to Guiding Safety-Aware Autonomous Vehicle Computing System Design.
Proceedings of the IEEE Intelligent Vehicles Symposium, 2020
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020
Proceedings of the 8th International Conference on Learning Representations, 2020
Proceedings of the 38th IEEE International Conference on Computer Design, 2020
An Order Sampling Processing-in-Memory Architecture for Approximate Graph Pattern Mining.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
Vehicular and Edge Computing for Emerging Connected and Autonomous Vehicle Applications.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Proceedings of the APSys '20: 11th ACM SIGOPS Asia-Pacific Workshop on Systems, 2020
2019
Parana: A Parallel Neural Architecture Considering Thermal Problem of 3D Stacked Memory.
IEEE Trans. Parallel Distributed Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
CoRR, 2019
Proceedings of the 2019 ACM Symposium on Principles of Distributed Computing, 2019
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019
DeepMarks: A Secure Fingerprinting Framework for Digital Rights Management of Deep Learning Models.
Proceedings of the 2019 on International Conference on Multimedia Retrieval, 2019
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Binary Star: Coordinated Reliability in Heterogeneous Memory Systems for High Performance and Scalability.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Proceedings of the International Symposium on Memory Systems, 2019
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019
Proceedings of the 46th International Symposium on Computer Architecture, 2019
DeepInspect: A Black-box Trojan Detection and Mitigation Framework for Deep Neural Networks.
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019
Proceedings of the IEEE International Symposium on Workload Characterization, 2019
Proceedings of the International Conference on Robotics and Automation, 2019
Proceedings of the International Conference on Computer-Aided Design, 2019
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019
Proceedings of the Field and Service Robotics, 2019
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019
2018
Processing-in-Memory for Energy-Efficient Neural Network Training: A Heterogeneous Approach.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
Persistence Parallelism Optimization: A Holistic Approach from Memory Bus to RDMA Network.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
Proceedings of the International Symposium on Memory Systems, 2018
Steal but No Force: Efficient Hardware Undo+Redo Logging for Persistent Memory Systems.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
Proceedings of the 10th USENIX Workshop on Hot Topics in Storage and File Systems, 2018
2017
IEEE Des. Test, 2017
Proceedings of the International Symposium on Memory Systems, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
PRESCOTT: Preset-based cross-point architecture for spin-orbit-torque magnetic random access memory.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Leave the Cache Hierarchy Operation as It Is: A New Persistent Memory Accelerating Approach.
Proceedings of the 54th Annual Design Automation Conference, 2017
2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
J. Comput. Sci. Technol., 2016
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016
Proceedings of the Second International Symposium on Memory Systems, 2016
PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
LAP: Loop-Block Aware Inclusion Properties for Energy-Efficient Asymmetric Last Level Caches.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
Performance Implications of Processing-in-Memory Designs on Data-Intensive Applications.
Proceedings of the 45th International Conference on Parallel Processing Workshops, 2016
Pinatubo: a processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories.
Proceedings of the 53rd Annual Design Automation Conference, 2016
2015
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01747-6, 2015
ACM Trans. Archit. Code Optim., 2015
IPSJ Trans. Syst. LSI Des. Methodol., 2015
Proceedings of the IEEE Non-Volatile Memory System and Applications Symposium, 2015
ThyNVM: enabling software-transparent crash consistency in persistent memory systems.
Proceedings of the 48th International Symposium on Microarchitecture, 2015
History-Assisted Adaptive-Granularity Caches (HAAG$) for High Performance 3D DRAM Architectures.
Proceedings of the 29th ACM on International Conference on Supercomputing, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
2013
Optimizing GPU energy efficiency with 3D die-stacking graphics memory and reconfigurable memory interface.
ACM Trans. Archit. Code Optim., 2013
Kiln: closing the performance gap between systems with and without persistence support.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013
2012
Proceedings of the SC Conference on High Performance Computing Networking, 2012
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Optimizing bandwidth and power of graphics memory with hybrid memory technologies and adaptive data migration.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
2011
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
3D-nonFAR: three-dimensional non-volatile FPGA architecture using phase change memory.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010
Proceedings of the 47th Design Automation Conference, 2010
Architectural benefits and design challenges for three-dimensional integrated circuits.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010