Jingtong Hu
Orcid: 0000-0003-4029-4034
According to our database1,
Jingtong Hu
authored at least 213 papers
between 2008 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on orcid.org
On csauthors.net:
Bibliography
2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2024
CHARM 2.0: Composing Heterogeneous Accelerators for Deep Learning on Versal ACAP Architecture.
ACM Trans. Reconfigurable Technol. Syst., September, 2024
STDF: Spatio-Temporal Deformable Fusion for Video Quality Enhancement on Embedded Platforms.
ACM Trans. Embed. Comput. Syst., March, 2024
Towards Data-center Level Carbon Modeling and Optimization for Deep Learning Inference.
CoRR, 2024
Data-Algorithm-Architecture Co-Optimization for Fair Neural Networks on Skin Lesion Dataset.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2024, 2024
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2024, 2024
FairQuantize: Achieving Fairness Through Weight Quantization for Dermatological Disease Diagnosis.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2024, 2024
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2024
Proceedings of the 15th ACM/IEEE International Conference on Cyber-Physical Systems, 2024
SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024
Enabling On-Device Large Language Model Personalization with Self-Supervised Data Selection and Synthesis.
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
SPIRAL: Signal-Power Integrity Co-Analysis for High-Speed Inter-Chiplet Serial Links Validation.
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023
Nat. Mac. Intell., July, 2023
IEEE Trans. Computers, April, 2023
Reliable and Efficient Parallel Checkpointing Framework for Nonvolatile Processor With Concurrent Peripherals.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2023
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2023, 2023
Proceedings of the 14th International Green and Sustainable Computing Conference, 2023
CHARM: Composing Heterogeneous AcceleRators for Matrix Multiply on Versal ACAP Architecture.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023
Muffin: A Framework Toward Multi-Dimension AI Fairness by Uniting Off-the-Shelf Models.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Quantization through Search: A Novel Scheme to Quantize Convolutional Neural Networks in Finite Weight Space.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
Synthetic Data Can Also Teach: Synthesizing Effective Data for Unsupervised Visual Representation Learning.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023
2022
Nat. Mac. Intell., December, 2022
Editorial for the special issue on memory architectures and systems for modern applications.
CCF Trans. High Perform. Comput., December, 2022
Energy-Aware Adaptive Multi-Exit Neural Network Inference Implementation for a Millimeter-Scale Sensing System.
IEEE Trans. Very Large Scale Integr. Syst., 2022
EF-Train: Enable Efficient On-device CNN Training on FPGA through Data Reshaping for Online Adaptation or Personalization.
ACM Trans. Design Autom. Electr. Syst., 2022
Introduction to the Special Issue on Artificial Intelligence and Cyber-Physical Systems - Part 2.
ACM Trans. Cyber Phys. Syst., 2022
Cooperative Communication Between Two Transiently Powered Sensor Nodes by Reinforcement Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Enabling Weakly Supervised Temporal Action Localization From On-Device Learning of the Video Stream.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Personalized Neural Network for Patient-Specific Health Monitoring in IoT: A Metalearning Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Pod-racing: bulk-bitwise to floating-point compute in racetrack memory for machine learning at the edge.
IEEE Micro, 2022
Medical Image Anal., 2022
Development of A Real-time POCUS Image Quality Assessment and Acquisition Guidance System.
CoRR, 2022
FedCoCo: A Memory Efficient Federated Self-supervised Framework for On-Device Visual Representation Learning.
CoRR, 2022
Federated Self-Supervised Contrastive Learning and Masked Autoencoder for Dermatological Disease Diagnosis.
CoRR, 2022
Achieving Fairness in Dermatological Disease Diagnosis through Automatic Weight Adjusting Federated Learning and Personalization.
CoRR, 2022
Federated Contrastive Learning for Dermatological Disease Diagnosis via On-device Learning.
CoRR, 2022
Learn by Challenging Yourself: Contrastive Visual Representation Learning with Hard Sample Generation.
CoRR, 2022
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2022, 2022
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022
Opportunistic Communication with Latency Guarantees for Intermittently-Powered Devices.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
H2H: heterogeneous model to heterogeneous system mapping with computation and communication awareness.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
ICD-BAS: Detecting Ventricular Arrhythmia using Binary Architecture Search for Implantable Cardioverter Defibrillators.
Proceedings of the IEEE/ACM Conference on Connected Health: Applications, 2022
2021
ACM Trans. Embed. Comput. Syst., 2021
On-device Prior Knowledge Incorporated Learning for Personalized Atrial Fibrillation Detection.
ACM Trans. Embed. Comput. Syst., 2021
Introduction to the Special Issue on Artificial Intelligence and Cyber-Physical Systems: Part 1.
ACM Trans. Cyber Phys. Syst., 2021
Device-Circuit-Architecture Co-Exploration for Computing-in-Memory Neural Accelerators.
IEEE Trans. Computers, 2021
IEEE Trans. Pattern Anal. Mach. Intell., 2021
CoRR, 2021
Hardware-aware Real-time Myocardial Segmentation Quality Control in Contrast Echocardiography.
CoRR, 2021
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2021 - 24th International Conference, Strasbourg, France, September 27, 2021
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2021 - 24th International Conference, Strasbourg, France, September 27, 2021
Developing a Miniature Energy-Harvesting-Powered Edge Device with Multi-Exit Neural Network.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
Learning to Learn Personalized Neural Network for Ventricular Arrhythmias Detection on Intracardiac EGMs.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021
Federated Contrastive Learning for Dermatological Disease Diagnosis via On-device Learning (Invited Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Invited: Hardware-aware Real-time Myocardial Segmentation Quality Control in Contrast Echocardiography.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Enabling On-Device Self-Supervised Contrastive Learning with Selective Data Contrast.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Enabling On-Device Model Personalization for Ventricular Arrhythmias Detection by Generative Adversarial Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Lightweight Run-Time Working Memory Compression for Deployment of Deep Neural Networks on Resource-Constrained MCUs.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
2020
IEEE Trans. Very Large Scale Integr. Syst., 2020
ACM Trans. Embed. Comput. Syst., 2020
Enabling On-Device CNN Training by Self-Supervised Instance Filtering and Error Map Pruning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Standing on the Shoulders of Giants: Hardware and Neural Architecture Co-Search With Hot Start.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Low Overhead Online Data Flow Tracking for Intermittently Powered Non-Volatile FPGAs.
ACM J. Emerg. Technol. Comput. Syst., 2020
Personalized Deep Learning for Ventricular Arrhythmias Detection on Medical IoT Systems.
CoRR, 2020
Proceedings of the 38th IEEE International Conference on Computer Design, 2020
Towards Cardiac Intervention Assistance: Hardware-aware Neural Architecture Exploration for Real-Time 3D Cardiac Cine MRI Segmentation.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Personalized Deep Learning for Ventricular Arrhythmias Detection on Medical loT Systems.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Design Insights of Non-volatile Processors and Accelerators in Energy Harvesting Systems.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
Intermittent Inference with Nonuniformly Compressed Multi-Exit Neural Network for Energy Harvesting Powered Devices.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Co-Exploring Neural Architecture and Network-on-Chip Design for Real-Time Artificial Intelligence.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
2019
Real-Time Data Retrieval in Cyber-Physical Systems with Temporal Validity and Data Availability Constraints.
IEEE Trans. Knowl. Data Eng., 2019
ACM Trans. Embed. Comput. Syst., 2019
Modeling and Optimization for Self-powered Non-volatile IoT Edge Devices with Ultra-low Harvesting Power.
ACM Trans. Cyber Phys. Syst., 2019
Checkpointing-Aware Loop Tiling for Energy Harvesting Powered Nonvolatile Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
Guest Editorial: IEEE Transactions on Computers Special Section on Emerging Non-Volatile Memory Technologies: From Devices to Architectures and Systems.
IEEE Trans. Computers, 2019
A Novel STT-RAM-Based Hybrid Cache for Intermittently Powered Processors in IoT Devices.
IEEE Micro, 2019
CoRR, 2019
When Neural Architecture Search Meets Hardware Implementation: from Hardware Awareness to Co-Design.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019
XFER: A Novel Design to Achieve Super-Linear Performance on Multiple FPGAs for Real-Time AI.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019
Accuracy vs. Efficiency: Achieving Both through FPGA-Implementation Aware Neural Architecture Search.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Cooperative communication between two transiently powered sensors by reinforcement learning: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019
Q-learning based routing for transiently powered wireless sensor network: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019
2018
Securing Emerging Nonvolatile Main Memory With Fast and Energy-Efficient AES In-Memory Implementation.
IEEE Trans. Very Large Scale Integr. Syst., 2018
PATH: Performance-Aware Task Scheduling for Energy-Harvesting Nonvolatile Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2018
ACM Trans. Storage, 2018
ACM Trans. Design Autom. Electr. Syst., 2018
ENZYME: An Energy-Efficient Transient Computing Paradigm for Ultralow Self-Powered IoT Edge Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Prototyping Energy Harvesting Powered Systems with Nonvolatile Processor (Invited Paper).
Proceedings of the 2018 International Symposium on Rapid System Prototyping, 2018
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018
An area and energy efficient design of domain-wall memory-based deep convolutional neural networks using stochastic computing.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018
AIM: Fast and energy-efficient AES in-memory implementation for emerging non-volatile main memory.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
2017
CP-FPGA: Energy-Efficient Nonvolatile FPGA With Offline/Online Checkpointing Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2017
ACM Trans. Embed. Comput. Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Des. Test, 2017
Maximize energy utilization for ultra-low energy harvesting powered embedded systems.
Proceedings of the 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2017
Proceedings of the IEEE 6th Non-Volatile Memory Systems and Applications Symposium, 2017
A lightweight progress maximization scheduler for non-volatile processor under unstable energy harvesting.
Proceedings of the 18th ACM SIGPLAN/SIGBED Conference on Languages, 2017
An Efficient Racetrack Memory-Based Processing-in-Memory Architecture for Convolutional Neural Networks.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
CNN-based pattern recognition on nonvolatile IoT platform for smart ultraviolet monitoring: (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
2016
Data Allocation with Minimum Cost under Guaranteed Probability for Multiple Types of Memories.
J. Signal Process. Syst., 2016
Wear-Leveling Aware Page Management for Non-Volatile Main Memory on Embedded Systems.
IEEE Trans. Multi Scale Comput. Syst., 2016
ACM Trans. Embed. Comput. Syst., 2016
A Time, Energy, and Area Efficient Domain Wall Memory-Based SPM for Embedded Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Write Mode Aware Loop Tiling for High Performance Low Power Volatile PCM in Embedded Systems.
IEEE Trans. Computers, 2016
Redesigning software and systems for non-volatile processors on self-powered devices.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Dynamic converter reconfiguration for near-threshold non-volatile processors using in-door energy harvesting.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Neural Network-based Prediction Algorithms for In-Door Multi-Source Energy Harvesting System for Non-Volatile Processors.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Two-step state transition minimization for lifetime and performance improvement on MLC STT-RAM.
Proceedings of the 53rd Annual Design Automation Conference, 2016
Performance-aware task scheduling for energy harvesting nonvolatile processors considering power switching overhead.
Proceedings of the 53rd Annual Design Automation Conference, 2016
Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
Peak-to-average pumping efficiency improvement for charge pump in Phase Change Memories.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
2015
Reliability-Guaranteed Task Assignment and Scheduling for Heterogeneous Multiprocessors Considering Timing Constraint.
J. Signal Process. Syst., 2015
Low Overhead Software Wear Leveling for Hybrid PCM + DRAM Main Memory on Embedded Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2015
IEEE Trans. Parallel Distributed Syst., 2015
Non-volatile memories in FPGAs: Exploiting logic similarity to accelerate reconfiguration and increase programming cycles.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015
Multi-source energy harvesting management and optimization for non-volatile processors.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Nonvolatile main memory aware garbage collection in high-level language virtual machine.
Proceedings of the 2015 International Conference on Embedded Software, 2015
Software assisted non-volatile register reduction for energy harvesting based cyber-physical system.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Fixing the broken time machine: consistency-aware checkpointing for energy harvesting powered non-volatile processor.
Proceedings of the 52nd Annual Design Automation Conference, 2015
VWS: a versatile warp scheduler for exploring diverse cache localities of GPGPU applications.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Area and performance co-optimization for domain wall memory in application-specific embedded systems.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Checkpoint-aware instruction scheduling for nonvolatile processor with multiple functional units.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
Improving performance and lifetime of DRAM-PCM hybrid main memory through a proactive page allocation strategy.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
2014
Minimizing System Cost with Efficient Task Assignment on Heterogeneous Multicore Processors Considering Time Constraint.
IEEE Trans. Parallel Distributed Syst., 2014
Management and optimization for nonvolatile memory-based hybrid scratchpad memory on multicore embedded processors.
ACM Trans. Embed. Comput. Syst., 2014
IEEE Trans. Computers, 2014
J. Syst. Archit., 2014
A genetic algorithm for task scheduling on heterogeneous computing systems using multiple priority queues.
Inf. Sci., 2014
Efficient grouping-based mapping and scheduling on heterogeneous cluster architectures.
Comput. Electr. Eng., 2014
Proceedings of the 7th IEEE/ACM International Conference on Utility and Cloud Computing, 2014
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014
Wear-leveling for PCM main memory on embedded system via page management and process scheduling.
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014
Minimum-cost data allocation with guaranteed probability on multiple types of memory.
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014
Virtual-machine metadata optimization for I/O traffic reduction in mobile virtualization.
Proceedings of the IEEE Non-Volatile Memory Systems and Applications Symposium, 2014
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014
3M-PCM: Exploiting multiple write modes MLC phase change main memory in embedded systems.
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014
2013
J. Signal Process. Syst., 2013
J. Signal Process. Syst., 2013
Data Allocation Optimization for Hybrid Scratch Pad Memory With SRAM and Nonvolatile Memory.
IEEE Trans. Very Large Scale Integr. Syst., 2013
Write activity reduction on non-volatile main memories for embedded chip multiprocessors.
ACM Trans. Embed. Comput. Syst., 2013
Data Placement and Duplication for Embedded Multicore Systems With Scratch Pad Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Microprocess. Microsystems, 2013
J. Syst. Archit., 2013
Optimal data allocation algorithm for loop-centric applications on scratch-PAD memories.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013
Optimizing task assignment for heterogeneous multiprocessor system with guaranteed reliability and timing constraint.
Proceedings of the 2013 IEEE 19th International Conference on Embedded and Real-Time Computing Systems and Applications, 2013
Proceedings of the 2013 IEEE 19th International Conference on Embedded and Real-Time Computing Systems and Applications, 2013
Efficient task assignment and scheduling for MPSoC DSPS with VS-SPM considering concurrent accesses through data allocation.
Proceedings of the IEEE International Conference on Acoustics, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
2012
Minimizing Access Cost for Multiple Types of Memory Units in Embedded Systems Through Data Allocation and Scheduling.
IEEE Trans. Signal Process., 2012
J. Syst. Archit., 2012
Optimal Assignment for Tree-Structure Task Graph on Heterogeneous Multicore Systems Considering Time Constraint.
Proceedings of the IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, 2012
Optimizing Data Allocation and Memory Configuration for Non-Volatile Memory Based Hybrid SPM on Embedded CMPs.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012
Proceedings of the 18th IEEE International Conference on Parallel and Distributed Systems, 2012
Proceedings of the 2012 IEEE International Conference on Acoustics, 2012
Efficient Task Assignment on Heterogeneous Multicore Systems Considering Communication Overhead.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2012
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012
Proceedings of the 16th Workshop on Interaction between Compilers and Computer Architectures, 2012
2011
Write Activity Minimization for Nonvolatile Main Memory Via Scheduling and Recomputation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Proceedings of the IEEE 10th International Conference on Trust, 2011
Proceedings of the International Conference on Parallel Processing, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
2010
Iterational retiming with partitioning: Loop scheduling with complete memory latency hiding.
ACM Trans. Embed. Comput. Syst., 2010
EURASIP J. Embed. Syst., 2010
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010
Proceedings of the IEEE 8th Symposium on Application Specific Processors, 2010
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation.
Proceedings of the 47th Design Automation Conference, 2010
Impacts of Inaccurate Information on Resource Allocation for Multi-Core Embedded Systems.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010
2009
Optimizing scheduling and intercluster connection for application-specific DSP processors.
IEEE Trans. Signal Process., 2009
Proceedings of the IEEE 6th International Conference on Mobile Adhoc and Sensor Systems, 2009
Proceedings of the 15th IEEE International Conference on Parallel and Distributed Systems, 2009
Proceedings of the 15th IEEE International Conference on Parallel and Distributed Systems, 2009
2008
Microprocess. Microsystems, 2008
Proceedings of the Wireless Algorithms, 2008
Address assignment sensitive variable partitioning and scheduling for DSPS with multiple memory banks.
Proceedings of the IEEE International Conference on Acoustics, 2008
Loop scheduling and assignment to minimize energy while hiding latency for heterogeneous multi-bank memory.
Proceedings of the FPL 2008, 2008
Proceedings of the 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), 2008
QoS for Networked Heterogeneous Real-Time Embedded Systems.
Proceedings of the ISCA 21st International Conference on Parallel and Distributed Computing and Communication Systems, 2008