Jiang Hu
Orcid: 0009-0005-8842-7811
According to our database1,
Jiang Hu
authored at least 312 papers
between 1999 and 2024.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2016, "For contributions to gate, interconnect, and clock network optimization in VLSI circuits".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Stat. Comput., December, 2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024
A novel prediction model construction and result interpretation method for slope deformation of deep excavated expansive soil canals.
Expert Syst. Appl., February, 2024
Approximate controllability and optimal control in fractional differential equations with multiple delay controls, fractional Brownian motion with Hurst parameter in 0H12, and Poisson jumps.
Commun. Nonlinear Sci. Numer. Simul., January, 2024
IEEE Trans. Signal Process., 2024
Medical Image Anal., 2024
A projected semismooth Newton method for a class of nonconvex composite programs with strong prox-regularity.
J. Mach. Learn. Res., 2024
NextPolish2: A Repeat-aware Polishing Tool for Genomes Assembled Using HiFi Long Reads.
Genom. Proteom. Bioinform., 2024
A multilevel optimization approach for daily scheduling of combined heat and power units with integrated electrical and thermal storage.
Expert Syst. Appl., 2024
PatternPaint: Generating Layout Patterns Using Generative AI and Inpainting Techniques.
CoRR, 2024
CoRR, 2024
AdaFish: Fast low-rank parameter-efficient fine-tuning by using second-order information.
CoRR, 2024
Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD, 2024
Flip-Flop Centric Incremental Placement for Simultaneous Timing and Clock Network Power Optimization.
Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD, 2024
Proceedings of the 33rd ACM SIGSOFT International Symposium on Software Testing and Analysis, 2024
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2024
Proceedings of the 13th IEEE Sensor Array and Multichannel Signal Processing Workshop, 2024
Proceedings of the IEEE International Conference on Acoustics, 2024
DiMO-Sparse: Differentiable Modeling and Optimization of Sparse CNN Dataflow and Hardware Architecture.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023
A spatiotemporal identification method for deformation characteristics of expansive soil canal slope based on spectral clustering.
Expert Syst. Appl., September, 2023
Inf. Manag., July, 2023
Exact and approximate computation of critical values of the largest root test in high dimension.
Commun. Stat. Simul. Comput., May, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023
ACM Trans. Design Autom. Electr. Syst., March, 2023
Genom. Proteom. Bioinform., 2023
Securing Cloud FPGAs Against Power Side-Channel Attacks: A Case Study on Iterative AES.
CoRR, 2023
Decentralized Riemannian natural gradient methods with Kronecker-product approximations.
CoRR, 2023
Early Identification of Timing Critical RTL Components using ML based Path Delay Prediction.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023
Machine Learning Techniques for Pre-CTS Identification of Timing Critical Flip-Flops.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the 56th Hawaii International Conference on System Sciences, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023
Proceedings of the IEEE International Symposium on Broadband Multimedia Systems and Broadcasting, 2023
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
Fully Automated Machine Learning Model Development for Analog Placement Quality Prediction.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
2022
ACM Trans. Design Autom. Electr. Syst., 2022
IEEE Trans. Emerg. Top. Comput., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Design Rule Violation Prediction at Sub-10-nm Process Nodes Using Customized Convolutional Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
BMC Medical Informatics Decis. Mak., 2022
CLT for linear spectral statistics of high-dimensional sample covariance matrices in elliptical distributions.
J. Multivar. Anal., 2022
The application of machine learning and deep learning in sport: predicting NBA players' performance and popularity.
J. Inf. Telecommun., 2022
Construction and Optimization of Green Supply Chain Management Mode of Agricultural Enterprises in the Digital Economy.
Int. J. Inf. Syst. Supply Chain Manag., 2022
Proceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding, 2022
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022
Transaction Level Stimulus Optimization in Functional Verification Using Machine Learning Predictors.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
A Stochastic Approach to Handle Non-Determinism in Deep Learning-Based Design Rule Violation Predictions.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Deep Learning Toolkit-Accelerated Analytical Co-Optimization of CNN Hardware and Dataflow.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
TD3lite: FPGA Acceleration of Reinforcement Learning with Structural and Representation Optimizations.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Towards collaborative intelligence: routability estimation based on decentralized private data.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
Examining the Role of Privacy Policy on Host Information Disclosure on Accommodation Sharing Platforms.
Proceedings of the 28th Americas Conference on Information Systems, 2022
2021
ACM Trans. Design Autom. Electr. Syst., 2021
IEEE Trans. Circuits Syst. II Express Briefs, 2021
SeFAct2: Selective Feature Activation for Energy-Efficient CNNs Using Optimized Thresholds.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Field-derived relationships between fish habitat distribution and flow-sediment conditions in fluctuating backwater zone of the Three Gorges Reservoir.
Ecol. Informatics, 2021
A Circuit Attention Network-Based Actor-Critic Learning Approach to Robust Analog Transistor Sizing.
Proceedings of the 3rd ACM/IEEE Workshop on Machine Learning for CAD, 2021
APOLLO: An Automated Power Modeling Framework for Runtime Power Introspection in High-Volume Commercial Microprocessors.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021
From Specification to Silicon: Towards Analog/Mixed-Signal Design Automation using Surrogate NN Models with Transfer Learning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of CECNet 2021, 2021
Net2: A Graph Attention Network Method Customized for Pre-Placement Net Length Estimation.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
Fast and Efficient Constraint Evaluation of Analog Layout Using Machine Learning Models.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Polynomial Regression and Measurement Error: Implications for Information Systems Research.
Data Base, 2020
Bioinform., 2020
Proceedings of the IEEE International Test Conference, 2020
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020
DRC Hotspot Prediction at Sub-10nm Process Nodes Using Customized Convolutional Network.
Proceedings of the ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29, 2020
Proceedings of the ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29, 2020
Proceedings of the International Conference on Field-Programmable Technology, 2020
Proceedings of the 38th IEEE International Conference on Computer Design, 2020
Predicting National Basketball Association Players Performance and Popularity: A Data Mining Approach.
Proceedings of the Computational Collective Intelligence - 12th International Conference, 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
When Hosts Disclose Their Private Information on Accommodation Sharing Platforms: An Information Commercialization Perspective.
Proceedings of the 53rd Hawaii International Conference on System Sciences, 2020
GANA: Graph Convolutional Network Based Automated Netlist Annotation for Analog Circuits.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
Enhancing Generalization of Wafer Defect Detection by Data Discrepancy-aware Preprocessing and Contrast-varied Augmentation.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
FIST: A Feature-Importance Sampling and Tree-Based Method for Automatic Design Flow Parameter Tuning.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
Proceedings of the 26th Americas Conference on Information Systems, 2020
2019
Central Limit Theorem for Mutual Information of Large MIMO Systems With Elliptically Correlated Channels.
IEEE Trans. Inf. Theory, 2019
Trans. Inst. Meas. Control, 2019
EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration Under Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
SIAM J. Sci. Comput., 2019
Impact of the usage of social media in the workplace on team and employee performance.
Inf. Manag., 2019
Data Base, 2019
Proceedings of the 25th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2019
Proceedings of the IEEE International Test Conference, 2019
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
A Memory-Efficient Markov Decision Process Computation Framework Using BDD-based Sampling Representation.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
Proceedings of the Approximate Circuits, Methodologies and CAD., 2019
2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Circuits Syst. I Regul. Pap., 2018
SIAM J. Matrix Anal. Appl., 2018
Multi-scale performance simulation and effect analysis for hydraulic concrete submitted to leaching and frost.
Eng. Comput., 2018
NextSV: a meta-caller for structural variants from low-coverage long-read sequencing data.
BMC Bioinform., 2018
Simultaneous Wireless Information and Power Transfer in Cellular Two-Way Relay Networks With Massive MIMO.
IEEE Access, 2018
Graceful Degradation of Low-Criticality Tasks in Multiprocessor Dual-Criticality Systems.
Proceedings of the 26th International Conference on Real-Time Networks and Systems, 2018
Proceedings of the 2018 International Symposium on Physical Design, 2018
Increasing Rate of Diffusion of Innovation in Supply Chain: Targeting the Early Adopters in UK Supply Chain.
Proceedings of the Advances in Production Management Systems. Smart Manufacturing for Industry 4.0, 2018
DUCER: a Fast and Lightweight Error Correction Scheme for In-Vehicle Network Communication.
Proceedings of the 2018 IEEE International Conference on Vehicular Electronics and Safety, 2018
Proceedings of the 3rd International Conference on Multimedia Systems and Signal Processing, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 24th Americas Conference on Information Systems, 2018
Proceedings of the 24th Americas Conference on Information Systems, 2018
Proceedings of the 11th IEEE International Conference on Cloud Computing, 2018
2017
A comparative study on neural network-based prediction of smart community energy consumption.
Proceedings of the 2017 IEEE SmartWorld, 2017
Proceedings of the IEEE International Test Conference, 2017
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 2017 International Conference on Compilers, 2017
Proceedings of the 8th ACM International Conference on Bioinformatics, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
Proceedings of the 23rd Americas Conference on Information Systems, 2017
2016
Resource Sharing Centric Dynamic Voltage and Frequency Scaling for CMP Cores, Uncore, and Memory.
ACM Trans. Design Autom. Electr. Syst., 2016
Dam structural behavior identification and prediction by using variable dimension fractal model and iterated function system.
Appl. Soft Comput., 2016
Proceedings of the 2016 on International Symposium on Physical Design, 2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 2016 IEEE-EMBS International Conference on Biomedical and Health Informatics, 2016
2015
IEEE Trans. Ind. Electron., 2015
Guest Editorial: Special Section on Physical Design Techniques for Advanced Technology Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015
Having your cake and eating it too: Energy savings without performance loss through resource sharing driven power management.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015
Collaborative gate implementation selection and adaptivity assignment for robust combinational circuits.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
2014
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014
Case studies on variation tolerant and low power design using planar asymmetric double gate transistor.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Up by their bootstraps: Online learning in Artificial Neural Networks for CMP uncore power management.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
In-network monitoring and control policy for DVFS of CMP networks-on-chip and last level caches.
ACM Trans. Design Autom. Electr. Syst., 2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Dynamic voltage and frequency scaling for shared resources in multicore processor designs.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
2012
Algorithms for Gate Sizing and Device Parameter Selection for High-Performance Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
Guest Editorial Special Section on the 2011 International Symposium on Physical Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
Proceedings of the 2012 Wireless Telecommunications Symposium, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
A low overhead built-in delay testing with voltage and frequency adaptation for variation resilience.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012
2011
Efficient Congestion Mitigation Using Congestion-Aware Steiner Trees and Network Coding Topologies.
VLSI Design, 2011
ACM Trans. Design Autom. Electr. Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Proceedings of the Third International Conference of Soft Computing and Pattern Recognition, 2011
Transient and fine-grained voltage adaptation for variation resilience in VLSI interconnects.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Proceedings of the 2011 International Symposium on Physical Design, 2011
Proceedings of the 5th International Conference on Signal Processing and Communication Systems, 2011
Gate sizing and device technology selection algorithms for high-performance industrial designs.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
Scalable Analysis of Mesh-Based Clock Distribution Networks Using Application-Specific Reduced Order Modeling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
Proceedings of the 2010 International Symposium on Physical Design, 2010
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
Design of Voltage Overscaled Low-Power Trellis Decoders in Presence of Process Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
Microelectron. J., 2009
Sci. China Ser. F Inf. Sci., 2009
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009
2008
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
Zero skew clock routing in X-architecture based on an improved greedy matching algorithm.
Integr., 2008
IET Circuits Devices Syst., 2008
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008
Accelerating Clock Mesh Simulation Using Matrix-Level Macromodels and Dynamic Time Step Rounding.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008
Proceedings of the 2008 International Symposium on Physical Design, 2008
Proceedings of the 2008 International Symposium on Physical Design, 2008
Proceedings of the 26th International Conference on Computer Design, 2008
Delay-optimal simultaneous technology mapping and placement with applications to timing optimization.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008
Low power clock buffer planning methodology in F-D placement for large scale circuit design.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
2007
IEEE Trans. Very Large Scale Integr. Syst., 2007
IEEE Trans. Very Large Scale Integr. Syst., 2007
IEEE Trans. Very Large Scale Integr. Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
An Exact Jumper-Insertion Algorithm for Antenna Violation Avoidance/Fixing Considering Routing Obstacles.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Soft Clock Skew Scheduling for Variation-Tolerant Signal Processing Circuits: A Case Study of Viterbi Decoders.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 2007 International Symposium on Physical Design, 2007
The influence of user tailoring and cognitive load on user performance in spoken dialogue systems.
Proceedings of the 8th Annual Conference of the International Speech Communication Association, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Analysis of large clock meshes via harmonic-weighted model order reduction and port sliding.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Context & usability testing: user-modeled information presentation in easy and difficult driving conditions.
Proceedings of the 2007 Conference on Human Factors in Computing Systems, 2007
A Global Minimum Clock Distribution Network Augmentation Algorithm for Guaranteed Clock Skew Yield.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Proceedings of the IEEE Workshop on Signal Processing Systems, 2006
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006
An optimal jumper insertion algorithm for antenna avoidance/fixing on general routing trees with obstacles.
Proceedings of the 2006 International Symposium on Physical Design, 2006
Proceedings of the 2006 International Symposium on Physical Design, 2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the 43rd Design Automation Conference, 2006
Proceedings of the 43rd Design Automation Conference, 2006
Adaptive language behavior in HCI: how expectations and beliefs about a system affect users' word choice.
Proceedings of the 2006 Conference on Human Factors in Computing Systems, 2006
Proceedings of the 2006 Conference on Human Factors in Computing Systems, 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005
Natural Language Interface Put in Perspective: Interaction of Search Method and Task Complexity.
Proceedings of the Natural Language Understanding and Cognitive Science, 2005
Proceedings of the 2005 International Symposium on Physical Design, 2005
Improved algorithms for link-based non-tree clock networks for skew variability reduction.
Proceedings of the 2005 International Symposium on Physical Design, 2005
Natural Language Query vs. Keyword Search: Effects of Task Complexity on Search Performance, Participant Perceptions, and Preferences.
Proceedings of the Human-Computer Interaction, 2005
Proceedings of the Human-Computer Interaction, 2005
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004
2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
Proceedings of the 2003 International Symposium on Physical Design, 2003
Proceedings of the 2003 International Symposium on Physical Design, 2003
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003
2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
Proceedings of the 39th Design Automation Conference, 2002
2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Proceedings of the 2001 International Symposium on Physical Design, 2001
2000
Algorithms for non-Hanan-based optimization for VLSI interconnectunder a higher-order AWE model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
1999
Simultaneous buffer insertion and non-Hanan optimization for VLSI interconnect under a higher order AWE model.
Proceedings of the 1999 International Symposium on Physical Design, 1999
Proceedings of the 36th Conference on Design Automation, 1999