Jae W. Lee
Orcid: 0000-0002-4266-4919Affiliations:
- Seoul National University, College of Engineering, Korea
- MIT Computer Science and Artificial Intelligence Laboratory, Cambridge, USA (former)
According to our database1,
Jae W. Lee
authored at least 93 papers
between 2002 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
ACM Trans. Storage, 2024
A Quantitative Analysis of State Space Model-Based Large Language Model: Study of Hungry Hungry Hippos.
IEEE Comput. Archit. Lett., 2024
Proceedings of the Forty-first International Conference on Machine Learning, 2024
2023
A 4-bit 4.5-ns-Latency Pseudo-ReRAM Computing-In-Memory Macro With Self Error-Correcting DTC-Based WL Drivers and 6-bit CDAC-Less Column ADCs Having Ultra-Narrow Pitch.
IEEE Trans. Circuits Syst. II Express Briefs, September, 2023
MaPHeA: A Framework for Lightweight Memory Hierarchy-aware Profile-guided Heap Allocation.
ACM Trans. Embed. Comput. Syst., 2023
Proc. VLDB Endow., 2023
IEEE Micro, 2023
DRAM Translation Layer: Software-Transparent DRAM Power Savings for Disaggregated Memory.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
FlowKV: A Semantic-Aware Store for Large-Scale State Management of Stream Processing Engines.
Proceedings of the Eighteenth European Conference on Computer Systems, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 14th ACM SIGOPS Asia-Pacific Workshop on Systems, 2023
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023
2022
An Energy-Efficient DRAM Cache Architecture for Mobile Platforms With PCM-Based Main Memory.
ACM Trans. Embed. Comput. Syst., 2022
Architecting a Flash-Based Storage System for Low-Cost Inference of Extreme-Scale DNNs.
IEEE Trans. Computers, 2022
Ginex: SSD-enabled Billion-scale Graph Neural Network Training on a Single Machine via Provably Optimal In-memory Caching.
Proc. VLDB Endow., 2022
Layerweaver+: A QoS-Aware Layer-Wise DNN Scheduler for Multi-Tenant Neural Processing Units.
IEICE Trans. Inf. Syst., 2022
Proceedings of the Fifth Conference on Machine Learning and Systems, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
Mithril: Cooperative Row Hammer Protection on Commodity DRAM Leveraging Managed Refresh.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
A 40nm 5.6TOPS/W 239GOPS/mm<sup>2</sup> Self-Attention Processor with Sign Random Projection-based Approximation.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022
Proceedings of the International Conference on Electronics, Information, and Communication, 2022
L3: Accelerator-Friendly Lossless Image Format for High-Resolution, High-Throughput DNN Training.
Proceedings of the Computer Vision - ECCV 2022, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
2021
IEEE Micro, 2021
An 8-bit Ring-Amplifier Based Mixed-Signal MAC Circuit With Full Digital Interface and Variable Accumulation Length.
IEEE Access, 2021
Proceedings of the 2021 USENIX Annual Technical Conference, 2021
MaPHeA: a lightweight memory hierarchy-aware profile-guided heap allocation framework.
Proceedings of the LCTES '21: 22nd ACM SIGPLAN/SIGBED International Conference on Languages, 2021
ELSA: Hardware-Software Co-design for Efficient, Lightweight Self-Attention Mechanism in Neural Networks.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
Layerweaver: Maximizing Resource Utilization of Neural Processing Units via Layer-Wise Scheduling.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Proceedings of the 19th USENIX Conference on File and Storage Technologies, 2021
Proceedings of the 19th USENIX Conference on File and Storage Technologies, 2021
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2021
MERCI: efficient embedding reduction on commodity hardware via sub-query memoization.
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021
2020
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
A Specialized Architecture for Object Serialization with Applications to Big Data Analytics.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
Unlocking Wordline-level Parallelism for Fast Inference on RRAM-based DNN Accelerator.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
A<sup>3</sup>: Accelerating Attention Mechanisms in Neural Networks with Approximation.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020
2019
IEEE Micro, 2019
Proceedings of the 2019 USENIX Annual Technical Conference, 2019
Proceedings of the 2019 USENIX Annual Technical Conference, 2019
Charon: Specialized Near-Memory Processing Architecture for Clearing Dead Objects in Memory.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019
2018
Erratum: Energy-efficient heterogeneous memory system for mobile platforms [IEICE Electronics Express Vol. 14 (2017) No. 24 pp. 20171002].
IEICE Electron. Express, 2018
Proceedings of the IEEE International Conference on Consumer Electronics, 2018
Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, 2018
2017
On the Performance of Beam Division Nonorthogonal Multiple Access for FDD-Based Large-Scale Multi-User MIMO Systems.
IEEE Trans. Wirel. Commun., 2017
IEICE Electron. Express, 2017
IEICE Electron. Express, 2017
IEEE Comput. Archit. Lett., 2017
IEEE Comput. Archit. Lett., 2017
SOUP-N-SALAD: Allocation-Oblivious Access Latency Reduction with Asymmetric DRAM Microarchitectures.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017
Proceedings of the 24th IEEE International Conference on High Performance Computing, 2017
Constructive Multi-User Interference for Symbol-Level Link Adaptation: MMSE Approach.
Proceedings of the 2017 IEEE Globecom Workshops, Singapore, December 4-8, 2017, 2017
Jointly optimizing task granularity and concurrency for in-memory mapreduce frameworks.
Proceedings of the 2017 IEEE International Conference on Big Data (IEEE BigData 2017), 2017
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017
2016
IEEE Trans. Parallel Distributed Syst., 2016
Short-Circuit Dispatch: Accelerating Virtual Machine Interpreters on Embedded Processors.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016
2015
IEEE Trans. Consumer Electron., 2015
Proceedings of the 20th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2015
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
2014
IEEE Trans. Computers, 2014
Proceedings of the 23rd International World Wide Web Conference, 2014
Proceedings of the International Conference for High Performance Computing, 2014
QPR.js: a runtime framework for QoS-aware power optimization for parallel JavaScript programs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the 2014 ACM SIGSAC Conference on Computer and Communications Security, 2014
2013
Proceedings of the SIGPLAN/SIGBED Conference on Languages, 2013
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2013
2012
J. Parallel Distributed Comput., 2012
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, 2012
Proceedings of the 10th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2012
Proceedings of the 10th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2012
Proceedings of the 15th International Conference on Compilers, 2012
2011
Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, 2011
2010
Probabilistic Distance-Based Arbitration: Providing Equality of Service for Many-Core CMPs.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
2007
Proceedings of the 25th International Conference on Computer Design, 2007
2006
METERG: Measurement-Based End-to-End Performance Estimation Technique in QoS-Capable Multiprocessors.
Proceedings of the 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2006), 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
2004
Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, 2004
2002
The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs.
IEEE Micro, 2002