Igor L. Markov
Orcid: 0000-0002-3826-527XAffiliations:
- Facebook Inc., Menlo Park, CA, USA
- University of Michigan, EECS Department, Ann Arbor, USA
- University of California, Los Angeles, CA, USA (PhD 2001)
According to our database1,
Igor L. Markov
authored at least 235 papers
between 1997 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
Commun. ACM, November, 2024
Mach. Learn., May, 2024
Proceedings of the Proceedings 27th International Conference on Extending Database Technology, 2024
2023
ACM Trans. Design Autom. Electr. Syst., July, 2023
The False Dawn: Reevaluating Google's Reinforcement Learning for Chip Macro Placement.
CoRR, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
2022
Proceedings of the KDD '22: The 28th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
2021
Bit-efficient Numerical Aggregation and Stronger Privacy for Trust in Federated Analytics.
CoRR, 2021
CoRR, 2021
Proceedings of the I (Still) Can't Believe It's Not Better! Workshop at NeurIPS 2021, 2021
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
As Accurate as Needed, as Efficient as Possible: Approximations in DD-based Quantum Circuit Simulation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the CIKM '21: The 30th ACM International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1, 2021
2020
Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond.
CoRR, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
2019
2018
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
2016
2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
2014
A review of "Memcomputing NP-complete problems in polynomial time using polynomial resources" (arXiv: 1411.4798).
CoRR, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
2013
Lecture Notes in Electrical Engineering 166, Springer, ISBN: 978-1-4614-1355-4, 2013
Lecture Notes in Electrical Engineering 115, Springer, ISBN: 978-90-481-9643-2, 2013
ACM Trans. Design Autom. Electr. Syst., 2013
ACM Comput. Surv., 2013
CoRR, 2013
"Scaling" the impact of EDA education Preliminary findings from the CCC workshop series on extreme scale design automation.
Proceedings of the 2013 IEEE International Conference on Microelectronic Systems Education, 2013
Proceedings of the 25th IEEE International Conference on Tools with Artificial Intelligence, 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the American Control Conference, 2013
2012
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
Quantum Inf. Comput., 2012
Proceedings of the Logic for Programming, Artificial Intelligence, and Reasoning, 2012
Proceedings of the International Symposium on Physical Design, 2012
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the Turing-100, 2012
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012
2011
IEEE Micro, 2011
EDA: Synergy or sum of the parts? [review of "Electronic Design Automation: Synthesis, Verification and Test (Systems on Silicon" (Wang, L.-T., Eds., et al; 2009)].
IEEE Des. Test Comput., 2011
Proceedings of the 2011 International Symposium on Physical Design, 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Springer, ISBN: 978-90-481-9590-9, 2011
2010
ACM Trans. Design Autom. Electr. Syst., 2010
IEEE Des. Test Comput., 2010
Proceedings of the Theory and Applications of Satisfiability Testing, 2010
Proceedings of the 2010 International Symposium on Physical Design, 2010
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 47th Design Automation Conference, 2010
2009
Lecture Notes in Electrical Engineering 32, Springer, ISBN: 978-1-4020-9364-7, 2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
IEEE Des. Test Comput., 2009
High-performance Energy Minimization with Applications to Adiabatic Quantum Computing.
CoRR, 2009
Ann. Math. Artif. Intell., 2009
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Customizing IP cores for system-on-chip designs using extensive external don't-cares.
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the 46th Design Automation Conference, 2009
2008
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008
Proceedings of the Encyclopedia of Algorithms - 2008 Edition, 2008
ACM Trans. Design Autom. Electr. Syst., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
Optimizing Nonmonotonic Interconnect Using Functional Simulation and Logic Restructuring.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
Proceedings of the Tenth International Workshop on System-Level Interconnect Prediction (SLIP 2008), 2008
Optimizing non-monotonic interconnect using functional simulation and logic restructuring.
Proceedings of the 2008 International Symposium on Physical Design, 2008
Proceedings of the 2008 International Symposium on Physical Design, 2008
Proceedings of the 2008 International Symposium on Physical Design, 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 45th Design Automation Conference, 2008
2007
Proceedings of the Handbook of Approximation Algorithms and Metaheuristics., 2007
ACM Trans. Design Autom. Electr. Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
IEEE Trans. Computers, 2007
IEEE Des. Test Comput., 2007
InVerS: An Incremental Verification System with Circuit Similarity Metrics and Error Visualization.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2007
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007
Capo: Congestion-Driven Placement for Standard-cell and RTL Netlists with Incremental Capability.
Proceedings of the Modern Circuit Placement, Best Practices and Results, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
ACM J. Emerg. Technol. Comput. Syst., 2006
J. Artif. Intell. Res., 2006
Proceedings of the 2006 International Symposium on Physical Design, 2006
Proceedings of the 2006 International Symposium on Physical Design, 2006
Proceedings of the 2006 International Symposium on Physical Design, 2006
Proceedings of the 11th European Test Symposium, 2006
Proceedings of the 43rd Design Automation Conference, 2006
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006
2005
ACM Trans. Design Autom. Electr. Syst., 2005
Quantum Inf. Comput., 2005
Quantum Inf. Comput., 2005
Ann. Math. Artif. Intell., 2005
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005
Early research experience with OpenAccess gear: an open source development environment for physical design.
Proceedings of the 2005 International Symposium on Physical Design, 2005
Proceedings of the 2005 International Symposium on Physical Design, 2005
Proceedings of the 2005 International Symposium on Physical Design, 2005
Post-placement rewiring and rebuffering by exhaustive search for functional symmetries.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005
Proceedings of the 10th European Test Symposium, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Quantum Inf. Comput., 2004
MINCE: A Static Global Variable-Ordering Heuristic for SAT Search and BDD Manipulation.
J. Univers. Comput. Sci., 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the Recent Advances in Constraints, 2004
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004
2003
Improved a priori interconnect predictions and technology extrapolation in the GTX system.
IEEE Trans. Very Large Scale Integr. Syst., 2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
Proceedings of the 4th International Symposium on Quality of Electronic Design (ISQED 2003), 2003
Proceedings of the IJCAI-03, 2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003
Proceedings of the 40th Design Automation Conference, 2003
Proceedings of the 40th Design Automation Conference, 2003
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003
2002
IEEE Des. Test Comput., 2002
Reversible Logic Circuit Synthesis.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002
Overcoming Resolution-Based Lower Bounds for SAT Solvers.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002
Efficient Gate and Input Ordering for Circuit-to-BDD Conversion.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002
Proceedings of 2002 International Symposium on Physical Design, 2002
Consistent placement of macro-blocks using floorplanning and standard-cell placement.
Proceedings of 2002 International Symposium on Physical Design, 2002
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002
Proceedings of the 39th Design Automation Conference, 2002
Proceedings of the Algorithm Engineering and Experiments, 4th International Workshop, 2002
2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
2000
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000
ACM J. Exp. Algorithmics, 2000
Proceedings of the 37th Conference on Design Automation, 2000
Proceedings of the 37th Conference on Design Automation, 2000
Proceedings of the 37th Conference on Design Automation, 2000
Proceedings of ASP-DAC 2000, 2000
1999
VLSI Design, 1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
Proceedings of the 1999 International Symposium on Physical Design, 1999
Proceedings of the 36th Conference on Design Automation, 1999
Hypergraph Partitioning for VLSI CAD: Methodology for Heuristic Development, Experimentation and Reporting.
Proceedings of the 36th Conference on Design Automation, 1999
Proceedings of the 1999 Conference on Asia South Pacific Design Automation, 1999
Design and Implementation of the Fiduccia-Mattheyses Heuristic for VLSI Netlist Partitioning.
Proceedings of the Algorithm Engineering and Experimentation, 1999
1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
Proceedings of the 35th Conference on Design Automation, 1998
Proceedings of the 35th Conference on Design Automation, 1998
1997
Proceedings of the 1997 International Symposium on Physical Design, 1997
Proceedings of the 34st Conference on Design Automation, 1997