Huawei Li

Orcid: 0000-0001-8082-4218

Affiliations:
  • Chinese Academy of Sciences, Institute of Computing Technology, State Key Laboratory of Computer Architecture, Beijing, China
  • Chinese Academy of Sciences, Institute of Computing Technology, Beijing, China (PhD 2001)


According to our database1, Huawei Li authored at least 267 papers between 1998 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
MRFI: An Open-Source Multiresolution Fault Injection Framework for Neural Network Processing.
IEEE Trans. Very Large Scale Integr. Syst., July, 2024

An Energy-Efficient In-Memory Accelerator for Graph Construction and Updating.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., June, 2024

PDG: A Prefetcher for Dynamic Graph Updating.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2024

Parallel Static Learning Toward Heterogeneous Computing Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

A Fully Pipelined High-Performance Elliptic Curve Cryptography Processor for NIST P-256.
Proceedings of the IEEE European Test Symposium, 2024

HyQA: Hybrid Near-Data Processing Platform for Embedding Based Question Answering System.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024

2023
Soft Error Reliability Analysis of Vision Transformers.
IEEE Trans. Very Large Scale Integr. Syst., December, 2023

Exploring Winograd Convolution for Cost-Effective Neural Network Fault Tolerance.
IEEE Trans. Very Large Scale Integr. Syst., November, 2023

Statistical Modeling of Soft Error Influence on Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Accelerating Deformable Convolution Networks with Dynamic and Irregular Memory Accesses.
ACM Trans. Design Autom. Electr. Syst., July, 2023

Scalable and Conflict-Free NTT Hardware Accelerator Design: Methodology, Proof, and Implementation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

On-Line Fault Protection for ReRAM-Based Neural Networks.
IEEE Trans. Computers, February, 2023

DHSA: efficient doubly homomorphic secure aggregation for cross-silo federated learning.
J. Supercomput., 2023

Chosen ciphertext correlation power analysis on Kyber.
Integr., 2023

SPFL: A Self-purified Federated Learning Method Against Poisoning Attacks.
CoRR, 2023

iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library.
CoRR, 2023

ChipGPT: How far are we from natural language hardware design.
CoRR, 2023

ApproxABFT: Approximate Algorithm-Based Fault Tolerance for Vision Transformers.
CoRR, 2023

Reliability Analysis of Vision Transformers.
CoRR, 2023

Communication-aware Quantization for Deep Learning Inference Parallelization on Chiplet-based Accelerators.
Proceedings of the 29th IEEE International Conference on Parallel and Distributed Systems, 2023

Fast Exact NPN Classification with Influence-Aided Canonical Form.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

DeepBurning-MixQ: An Open Source Mixed-Precision Neural Network Accelerator Design Framework for FPGAs.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Online Reliability Evaluation Design: Select Reliable CRPs for Arbiter PUF and Its Variants.
Proceedings of the IEEE European Test Symposium, 2023

Rethinking NPN Classification from Face and Point Characteristics of Boolean Functions.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Energy-efficient NTT Design with One-bank SRAM and 2-D PE Array.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

APPEND: Rethinking ASIP Synthesis in the Era of AI.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

A Template Attack on Reduction Without Reference Device on Kyber.
Proceedings of the 32nd IEEE Asian Test Symposium, 2023

Configurable and High-Level Pipelined Lattice-Based Post Quantum Cryptography Hardware Accelerator Design.
Proceedings of the 32nd IEEE Asian Test Symposium, 2023

Message from the Chairs.
Proceedings of the 32nd IEEE Asian Test Symposium, 2023

Adversarial Testing: A Novel On-Line Testing Method for Deep Learning Processors.
Proceedings of the 32nd IEEE Asian Test Symposium, 2023

Intelligent Automatic Test Pattern Generation for Digital Circuits Based on Reinforcement Learning.
Proceedings of the 32nd IEEE Asian Test Symposium, 2023

A Distributed ATPG System Combining Test Compaction Based on Pure MaxSAT.
Proceedings of the 32nd IEEE Asian Test Symposium, 2023

2022
BMC-Based Temperature-Aware SBST for Worst-Case Delay Fault Testing Under High Temperature.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Taming Process Variations in CNFET for Efficient Last-Level Cache Design.
IEEE Trans. Very Large Scale Integr. Syst., 2022

SATAM: A SAT Attack Resistant Active Metering Against IC Overbuilding.
IEEE Trans. Emerg. Top. Comput., 2022

An Efficient Deep Learning Accelerator Architecture for Compressed Video Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A Fast Precision Tuning Solution for Always-On DNN Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

HyCA: A Hybrid Computing Architecture for Fault-Tolerant Deep Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Saving Energy of RRAM-Based Neural Accelerator Through State-Aware Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

CAP: Communication-Aware Automated Parallelization for Deep Learning Inference on CMP Architectures.
IEEE Trans. Computers, 2022

Fault-Tolerant Deep Learning: A Hierarchical Perspective.
CoRR, 2022

Cognitive SSD+: a deep learning engine for energy-efficient unstructured data retrieval.
CCF Trans. High Perform. Comput., 2022

Special Session: Fault-Tolerant Deep Learning: A Hierarchical Perspective.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

SASH: Efficient secure aggregation based on SHPRG for federated learning.
Proceedings of the Uncertainty in Artificial Intelligence, 2022

Exploring the high-throughput and low-delay hardware design of SM4 on FPGA.
Proceedings of the 19th International SoC Design Conference, 2022

Reexamining CGRA Memory Sub-system for Higher Memory Utilization and Performance.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

GIA: A Reusable General Interposer Architecture for Agile Chiplet Integration.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

NoCeption: A Fast PPA Prediction Framework for Network-on-Chips Using Graph Neural Network.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

VStore: in-storage graph based vector search accelerator.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

InfoX: an energy-efficient ReRAM accelerator design with information-lossless low-bit ADCs.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

A Hardware Trojan Trigger Localization Method in RTL based on Control Flow Features.
Proceedings of the IEEE 31st Asian Test Symposium, 2022

A Voltage Template Attack on the Modular Polynomial Subtraction in Kyber.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Reliability Evaluation and Analysis of FPGA-Based Neural Network Acceleration System.
IEEE Trans. Very Large Scale Integr. Syst., 2021

R2F: A Remote Retraining Framework for AIoT Processors With Computing Errors.
IEEE Trans. Very Large Scale Integr. Syst., 2021

An Edge 3D CNN Accelerator for Low-Power Activity Recognition.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

EnGN: A High-Throughput and Energy-Efficient Accelerator for Large Graph Neural Networks.
IEEE Trans. Computers, 2021

Efficient Secure Aggregation Based on SHPRG For Federated Learning.
CoRR, 2021

Taming Process Variations in CNFET for Efficient Last Level Cache Design.
CoRR, 2021

Energy-Efficient Accelerator Design for Deformable Convolution Networks.
CoRR, 2021

To cloud or not to cloud: an on-line scheduler for dynamic privacy-protection of deep learning workload on edge devices.
CCF Trans. High Perform. Comput., 2021

Editorial for the special issue on reliability and power efficiency for HPC.
CCF Trans. High Perform. Comput., 2021

Special Session - Test for AI Chips: from DFT to On-line Testing.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

GLIST: Towards In-Storage Graph Learning.
Proceedings of the 2021 USENIX Annual Technical Conference, 2021

Scalable Parallel Static Learning.
Proceedings of the IEEE International Test Conference in Asia, 2021

PixelSieve: Towards Efficient Activity Analysis From Compressed Video Streams.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

TARe: Task-Adaptive in-situ ReRAM Computing for Graph Learning.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

GCiM: A Near-Data Processing Accelerator for Graph Construction.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

SeGa: A Trojan Detection Method Combined With Gate Semantics.
Proceedings of the 30th IEEE Asian Test Symposium, 2021

2020
Software-Based Self-Testing Using Bounded Model Checking for Out-of-Order Superscalar Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Architecting Effectual Computation for Machine Learning Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

GPGPU-Based ATPG System: Myth or Reality?
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

A Guaranteed Secure Scan Design Based on Test Data Obfuscation by Cryptographic Hash.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Evaluating and Constraining Hardware Assertions with Absent Scenarios.
J. Comput. Sci. Technol., 2020

MultiPAD: A Multivariant Partition-Based Method for Audio Adversarial Examples Detection.
IEEE Access, 2020

A New Secure Scan Design with PUF-based Key for Authentication.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

Sequence Triggered Hardware Trojan in Neural Network Accelerator.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

Special Session - Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

A Many-Core Accelerator Design for On-Chip Deep Reinforcement Learning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

DeepBurning-GL: an Automated Framework for Generating Graph Neural Network Accelerators.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Prediction Stability: A New Metric for Quantitatively Evaluating DNN Outputs.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Multi-task Scheduling for PIM-based Heterogeneous Computing System.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

HIT: A Hidden Instruction Trojan Model for Processors.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

CNT-Cache: an Energy-Efficient Carbon Nanotube Cache with Adaptive Encoding.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

An Efficient Deep Learning Accelerator for Compressed Video Analysis.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Towards State-Aware Computation in ReRAM Neural Networks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Optimization Space Exploration of Hardware Design for CRYSTALS-KYBER.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

Survey: Hardware Trojan Detection for Netlist.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

Persistent Fault Analysis of Neural Networks on FPGA-based Acceleration System.
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020

2019
Cluster Restoration-Based Trace Signal Selection for Post-Silicon Debug.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

A QoS-QoR Aware CNN Accelerator Design Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

MV-Net: Toward Real-Time Deep Learning on Mobile GPGPU Systems.
ACM J. Emerg. Technol. Comput. Syst., 2019

HTDet: A Clustering Method using Information Entropy for Hardware Trojan Detection.
CoRR, 2019

Scan Chain Based Attacks and Countermeasures: A Survey.
IEEE Access, 2019

Leveraging Memory PUFs and PIM-based encryption to secure edge deep learning systems.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

A Secure and Low-overhead Active IC Metering Scheme.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

Cognitive SSD: A Deep Learning Engine for In-Storage Data Retrieval.
Proceedings of the 2019 USENIX Annual Technical Conference, 2019

A Memristor-based Scan Hold Flip-Flop.
Proceedings of the 2019 IEEE Non-Volatile Memory Systems and Applications Symposium, 2019

China Test Conference (CTC) - Extending the Global Test Forum to China.
Proceedings of the IEEE International Test Conference, 2019

Implementation of Parametric Hardware Trojan in FPGA.
Proceedings of the IEEE International Test Conference in Asia, 2019

Squeezing the Last MHz for CNN Acceleration on FPGAs.
Proceedings of the IEEE International Test Conference in Asia, 2019

Instruction Vulnerability Test and Code Optimization Against DVFS Attack.
Proceedings of the IEEE International Test Conference in Asia, 2019

iATPG: Instruction-level Automatic Test Program Generation for Vulnerabilities under DVFS attack.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Fuzzy Association Rule Mining Algorithm Based on Load Classifier.
Proceedings of the Data Science - 6th International Conference, 2019

RRAMedy: Protecting ReRAM-Based Neural Network from Permanent and Soft Faults During Its Lifetime.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

An Agile Precision-Tunable CNN Accelerator based on ReRAM.
Proceedings of the International Conference on Computer-Aided Design, 2019

InS-DLA: An In-SSD Deep Learning Accelerator for Near-Data Processing.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Learn-to-Scale: Parallelizing Deep Learning Inference on Chip Multiprocessor Architecture.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Systolic Cube: A Spatial 3D CNN Accelerator Architecture for Low Power Video Analysis.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

A None-Sparse Inference Accelerator that Distills and Reuses the Computation Redundancy in CNNs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

GramsDet: Hardware Trojan Detection Based on Recurrent Neural Network.
Proceedings of the 28th IEEE Asian Test Symposium, 2019

Exploring emerging CNFET for efficient last level cache design.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

P<sup>3</sup>M: a PIM-based neural network model protection scheme for deep learning accelerator.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

Resilient Neural Network Training for Accelerators with Computing Errors.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

2018
LMDet: A "Naturalness" Statistical Method for Hardware Trojan Detection.
IEEE Trans. Very Large Scale Integr. Syst., 2018

A Case of On-Chip Memory Subsystem Design for Low-Power CNN Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Low Overhead In-Network Data Compressor for the Memory Hierarchy of Chip Multiprocessors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

On Trace Buffer Reuse-Based Trigger Generation in Post-Silicon Debug.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

CPicker: Leveraging Performance-Equivalent Configurations to Improve Data Center Energy Efficiency.
J. Comput. Sci. Technol., 2018

Modeling attacks on strong physical unclonable functions strengthened by random number and weak PUF.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Innovative practices on challenges, opportunities, and solutions to hardware security.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Lightweight Timing Channel Protection for Shared DRAM Controller.
Proceedings of the IEEE International Test Conference, 2018

Small Trojan Testing Using Bounded Model Checking.
Proceedings of the IEEE International Test Conference in Asia, 2018

Leveraging DRAM Refresh to Protect the Memory Timing Channel of Cloud Chip Multi-processors.
Proceedings of the IEEE International Test Conference in Asia, 2018

FCN-engine: accelerating deconvolutional layers in classic CNN processors.
Proceedings of the International Conference on Computer-Aided Design, 2018

A retrospective evaluation of energy-efficient object detection solutions on embedded devices.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Hardware Trojan Detection Based on Signal Correlation.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

A New Scheme to Extract PUF Information by Scan Chain.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

XORiM: A case of in-memory bit-comparator implementation and its performance implications.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

A low-overhead PUF based on parallel scan design.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Bias PUF based Secure Scan Chain Design.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2018

2017
Resilience-Aware Frequency Tuning for Neural-Network-Based Approximate Computing Chips.
IEEE Trans. Very Large Scale Integr. Syst., 2017

STT-RAM Buffer Design for Precision-Tunable General-Purpose Neural Network Accelerator.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Retention-Aware DRAM Assembly and Repair for Future FGR Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Why current secure scan designs fail and how to fix them?
Integr., 2017

Innovative practices session 10C formal verification practices in industry.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Flip-flop clustering based trace signal selection for post-silicon debug.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Software-based online self-testing of network-on-chip using bounded model checking.
Proceedings of the IEEE International Test Conference, 2017

Real-Time Meets Approximate Computing: An Elastic CNN Inference Accelerator with Adaptive Trade-off between QoS and QoR.
Proceedings of the 54th Annual Design Automation Conference, 2017

Selective off-loading to Memory: Task Partitioning and Mapping for PIM-enabled Heterogeneous Systems.
Proceedings of the Computing Frontiers Conference, 2017

How to Secure Scan Design Against Scan-Based Side-Channel Attacks?
Proceedings of the 26th IEEE Asian Test Symposium, 2017

A New Active IC Metering Technique Based on Locking Scan Cells.
Proceedings of the 26th IEEE Asian Test Symposium, 2017

On Evaluating and Constraining Assertions Using Conflicts in Absent Scenarios.
Proceedings of the 26th IEEE Asian Test Symposium, 2017

ApproxPIM: Exploiting realistic 3D-stacked DRAM for energy-efficient processing in-memory.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
PSI Conscious Write Scheduling: Architectural Support for Reliable Power Delivery in 3-D Die-Stacked PCM.
IEEE Trans. Very Large Scale Integr. Syst., 2016

VANUCA: Enabling Near-Threshold Voltage Operation in Large-Capacity Cache.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A Cost-Effective Energy Optimization Framework of Multicore SoCs Based on Dynamically Reconfigurable Voltage-Frequency Islands.
ACM Trans. Design Autom. Electr. Syst., 2016

Functional Test Generation for Hard-to-Reach States Using Path Constraint Solving.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Abstraction-Guided Simulation Using Markov Analysis for Functional Verification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

CoreRank: Redeeming "Sick Silicon" by Dynamically Quantifying Core-Level Healthy Condition.
IEEE Trans. Computers, 2016

LOFT: A low-overhead fault-tolerant routing scheme for 3D NoCs.
Integr., 2016

Path constraint solving based test generation for observability-enhanced branch coverage.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

An accurate algorithm for computing mutation coverage in model checking.
Proceedings of the 2016 IEEE International Test Conference, 2016

A new countermeasure against scan-based side-channel attacks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Re-architecting the on-chip memory sub-system of machine-learning accelerator for embedded devices.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

An ultra-low overhead LUT-based PUF for FPGA.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

PowerCap: Leverage Performance-Equivalent Resource Configurations for power capping.
Proceedings of the Seventh International Green and Sustainable Computing Conference, 2016

Frequency scheduling for resilient chip multi-processors operating at Near Threshold Voltage.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

DeepBurning: automatic generation of FPGA-based learning accelerators for the neural network family.
Proceedings of the 53rd Annual Design Automation Conference, 2016

DISCO: a low overhead in-network data compressor for energy-efficient chip multi-processors.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Property Coverage Analysis Based Trustworthiness Verification for Potential Threats from EDA Tools.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
Data Remapping for Static NUCA in Degradable Chip Multiprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Economizing TSV Resources in 3-D Network-on-Chip Design.
IEEE Trans. Very Large Scale Integr. Syst., 2015

An on-chip frequency programmable test clock generation and application method for small delay defect detection.
Integr., 2015

On optimizing system energy of multi-core SoCs based on dynamically reconfigurable voltage-frequency island.
Proceedings of the VLSI Design, Automation and Test, 2015

A Similarity Based Circuit Partitioning and Trimming Method to Defend against Hardware Trojans.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

A case of precision-tunable STT-RAM memory design for approximate neural network.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Temperature-aware software-based self-testing for delay faults.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Retraining-based timing error mitigation for hardware neural networks.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

<i>RADAR</i>: a case for retention-aware DRAM assembly and repair in future FGR DRAM memory.
Proceedings of the 52nd Annual Design Automation Conference, 2015

ProPRAM: exploiting the transparent logic resources in non-volatile memory for near data computing.
Proceedings of the 52nd Annual Design Automation Conference, 2015

TURO: A lightweight turn-guided routing scheme for 3D NoCs.
Proceedings of the 2015 IEEE Symposium in Low-Power and High-Speed Chips, 2015

TWiN: A Turn-Guided Reliable Routing Scheme for Wireless 3D NoCs.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

A Lightweight Timing Channel Protection for Shared Memory Controllers.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

Enhanced LCCG: A novel test clock generation scheme for faster-than-at-speed delay testing.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Test-Quality Optimization for Variable $n$ -Detections of Transition Faults.
IEEE Trans. Very Large Scale Integr. Syst., 2014

ZoneDefense: A Fault-Tolerant Routing for 2-D Meshes Without Virtual Channels.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Lifetime Enhancement Techniques for PCM-Based Image Buffer in Multimedia Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Performance Portability Across Heterogeneous SoCs Using a Generalized Library-Based Approach.
ACM Trans. Archit. Code Optim., 2014

Reinventing Memory System Design for Many-Accelerator Architecture.
J. Comput. Sci. Technol., 2014

A novel abstraction-guided simulation approach using posterior probabilities for verification.
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014

HARS: A High-Performance Reliable Routing Scheme for 3D NoCs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Data-aware DRAM refresh to squeeze the margin of retention time in hybrid memory cube.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Functional test generation guided by steady-state probabilities of abstract design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A low power DRAM refresh control scheme for 3D memory cube.
Proceedings of the 2014 IEEE Symposium on Low-Power and High-Speed Chips, 2014

An On-Line Timing Error Detection Method for Silicon Debug.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
Automatic Test Program Generation Using Executing-Trace-Based Constraint Extraction for Embedded Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Unified Capture Scheme for Small Delay Defect Detection and Aging Prediction.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Test Path Selection for Capturing Delay Failures Under Statistical Timing Model.
IEEE Trans. Very Large Scale Integr. Syst., 2013

RSAK: Random stream attack for phase change memory in video applications.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Enabling Near-Threshold Voltage(NTV) operation in Multi-VDD cache for power reduction.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Path Constraint Solving Based Test Generation for Hard-to-Reach States.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
Flip-Flop Selection for Partial Enhanced Scan to Reduce Transition Test Data Volume.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A High-Precision On-Chip Path Delay Measurement Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Testable Path Selection and Grouping for Faster Than At-Speed Testing.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Statistical SDFC: A metric for evaluating test quality of small delay faults.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

SoftPCM: Enhancing Energy Efficiency and Lifetime of Phase Change Memory in Video Applications via Approximate Write.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
Selected Transition Time Adjustment for Tolerating Crosstalk Effects on Network-on-Chip Interconnects.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Path Delay Test Generation Toward Activation of Worst Case Coupling Effects.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Statistical lifetime reliability optimization considering joint effect of process variation and aging.
Integr., 2011

A New Multiple-Round Dimension-Order Routing for Networks-on-Chip.
IEICE Trans. Inf. Syst., 2011

A unified test architecture for on-line and off-line delay fault detections.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

An abacus turn model for time/space-efficient reconfigurable routing.
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011

Flex memory: Exploiting and managing abundant off-chip optical bandwidth.
Proceedings of the Design, Automation and Test in Europe, 2011

A Fault Criticality Evaluation Framework of Digital Systems for Error Tolerant Video Applications.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Testable Critical Path Selection Considering Process Variation.
IEICE Trans. Inf. Syst., 2010

Fast path selection for testing of small delay defects considering path correlations.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Address Remapping for Static NUCA in NoC-Based Degradable Chip-Multiprocessors.
Proceedings of the 16th IEEE Pacific Rim International Symposium on Dependable Computing, 2010

nGFSIM : A GPU-based fault simulator for 1-to-n detection and its applications.
Proceedings of the 2011 IEEE International Test Conference, 2010

On generation of a universal path candidate set containing testable long paths.
Proceedings of the 2011 IEEE International Test Conference, 2010

An on-chip clock generation scheme for faster-than-at-speed delay testing.
Proceedings of the Design, Automation and Test in Europe, 2010

Accelerating Lightpath setup via broadcasting in binary-tree waveguide in Optical NoCs.
Proceedings of the Design, Automation and Test in Europe, 2010

Software-Based Self-Testing of Processors Using Expanded Instructions.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

P^(2)CLRAF: An Pre- and Post-Silicon Cooperated Circuit Lifetime Reliability Analysis Framework.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

An Efficient Algorithm for Finding a Universal Set of Testable Long Paths.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

On Selection of Testable Paths with Specified Lengths for Faster-Than-At-Speed Testing.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

Graph partition based path selection for testing of small delay defects.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
On Topology Reconfiguration for Defect-Tolerant NoC-Based Homogeneous Manycore Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Selected Crosstalk Avoidance Code for Reliable Network-on-Chip.
J. Comput. Sci. Technol., 2009

Automatic Selection of Internal Observation Signals for Design Verification.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

Impact of Hazards on Pattern Selection for Small Delay Defects.
Proceedings of the 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing, 2009

Flip-Flop Selection for Transition Test Pattern Reduction Using Partial Enhanced Scan.
Proceedings of the 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing, 2009

Small Delay Fault Simulation for Sequential Circuits.
Proceedings of the 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing, 2009

A New Multiple-Round DOR Routing for 2D Network-on-Chip Meshes.
Proceedings of the 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing, 2009

A Scalable Scan Architecture for Godson-3 Multicore Microprocessor.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

A Low Overhead On-Chip Path Delay Measurement Circuit.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

M-IVC: Using Multiple Input Vectors to Minimize Aging-Induced Delay.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
Design-for-Testability Features and Test Implementation of a Giga Hertz General Purpose Microprocessor.
J. Comput. Sci. Technol., 2008

Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Multiple Coupling Effects Oriented Path Delay Test Generation.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Deterministic Diagnostic Pattern Generation (DDPG) for Compound Defects.
Proceedings of the 2008 IEEE International Test Conference, 2008

Static Crosstalk Noise Analysis with Transition Map.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

A Case Study on At-Speed Testing for a Gigahertz Microprocessor.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

A Scan-Based Delay Test Method for Reduction of Overtesting.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

Reliable Network-on-Chip Router for Crosstalk and Soft Error Tolerance.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

A design- for-diagnosis technique for diagnosing both scan chain faults and combinational circuit faults.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

Robust test generation for power supply noise induced path delay faults.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Embedded Test Decompressor to Reduce the Required Channels and Vector Memory of Tester for Complex Processor Circuit.
IEEE Trans. Very Large Scale Integr. Syst., 2007

The design-for-testability features of a general purpose microprocessor.
Proceedings of the 2007 IEEE International Test Conference, 2007

Bug analysis and corresponding error models in real designs.
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2007

A Routing Algorithm for Random Error Tolerance in Network-on-Chip.
Proceedings of the Human-Computer Interaction. HCI Applications and Services, 2007

2006
Embedded test resource for SoC to reduce required tester channels based on advanced convolutional codes.
IEEE Trans. Instrum. Meas., 2006

Compression/Scan Co-design for Reducing Test Data Volume, Scan-in Power Dissipation, and Test Application Time.
IEICE Trans. Inf. Syst., 2006

Response compaction for system-on-a-chip based on advanced convolutional codes.
Sci. China Ser. F Inf. Sci., 2006

Robust Test Generation for Precise Crosstalk-induced Path Delay Faults.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

Fast Packet Classification using Group Bit Vector.
Proceedings of the Global Telecommunications Conference, 2006. GLOBECOM '06, San Francisco, CA, USA, 27 November, 2006

An Observability Branch Coverage Metric Based on Dynamic Factored Use-Define Chains.
Proceedings of the 15th Asian Test Symposium, 2006

2005
An innovative free memory design for network processors in home network gateway.
IEEE Trans. Consumer Electron., 2005

Test Resource Partitioning Based on Efficient Response Compaction for Test Time and Tester Channels Reduction.
J. Comput. Sci. Technol., 2005

Wrapper Scan Chains Design for Rapid and Low Power Testing of Embedded Cores.
IEICE Trans. Inf. Syst., 2005

Selection of Crosstalk-Induced Faults in Enhanced Delay Test.
J. Electron. Test., 2005

Compression/Scan Co-Design for Reducing Test Data Volume, Scan-in Power Dissipation and Test Application Time.
Proceedings of the 11th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2005), 2005

Using MUXs Network to Hide Bunches of Scan Chains.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

Non-robust Test Generation for Crosstalk-Induced Delay Faults.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Theoretic analysis and enhanced X-tolerance of test response compact based on convolutional code.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Design of an efficient memory subsystem for network processor.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Response Compaction for Test Time and Test Pins Reduction Based on Advanced Convolutional Codes.
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004

Pair Balance-Based Test Scheduling for SOCs.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

Rapid and Energy-Efficient Testing for Embedded Cores.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

2003
A Novel RT-Level Behavioral Description Based ATPG Method.
J. Comput. Sci. Technol., 2003

Delay Test Pattern Generation Considering Crosstalk-Induced Effects.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

Test Resource Partitioning Based on Efficient Response Compaction for Test Time and Teste.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

2002
Clustering of behavioral phases in FSMs and its applications to VLSI test.
Sci. China Ser. F Inf. Sci., 2002

Test Power Optimization Techniques for CMOS Circuits.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

2001
Reducing Power Dissipation during At-Speed Test Application.
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001

An RT-Level ATPG Based on Clustering of Circuit States.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

2000
Reduction of Number of Paths to be Tested in Delay Testing.
J. Electron. Test., 2000

1998
Delay Testing with Double Observations.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998


  Loading...