Henk Corporaal
Orcid: 0000-0003-4506-5732Affiliations:
- Eindhoven University of Technology, Netherlands
According to our database1,
Henk Corporaal
authored at least 379 papers
between 1989 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
ACM Trans. Reconfigurable Technol. Syst., June, 2024
CoRR, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
2023
Delay Prediction for ASIC HLS: Comparing Graph-Based and Nongraph-Based Learning Models.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023
Dissecting Tensor Cores via Microbenchmarks: Latency, Throughput and Numeric Behaviors.
IEEE Trans. Parallel Distributed Syst., 2023
Microprocess. Microsystems, 2023
SPARTA: Spatial Acceleration for Efficient and Scalable Horizontal Diffusion Weather Stencil Computation.
Proceedings of the 37th International Conference on Supercomputing, 2023
Proceedings of the 41st IEEE International Conference on Computer Design, 2023
Proceedings of the Artificial Neural Networks and Machine Learning, 2023
Proceedings of the IEEE European Test Symposium, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
2022
ACM Trans. Reconfigurable Technol. Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
OCC: An Automated End-to-End Machine Learning Optimizing Compiler for Computing-In-Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Inf. Sci., 2022
THOR - A Neuromorphic Processor with 7.29G TSOP$^2$/mm$^2$Js Energy-Throughput Efficiency.
CoRR, 2022
BrainTTA: A 35 fJ/op Compiler Programmable Mixed-Precision Transport-Triggered NN SoC.
CoRR, 2022
CoRR, 2022
Dissecting Tensor Cores via Microbenchmarks: Latency, Throughput and Numerical Behaviors.
CoRR, 2022
Reduced-Precision Acceleration of Radio-Astronomical Imaging on Reconfigurable Hardware.
IEEE Access, 2022
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Sibyl: adaptive and extensible data placement in hybrid storage systems using online reinforcement learning.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022
Proceedings of the Image Analysis and Processing - ICIAP 2022, 2022
LEAPER: Fast and Accurate FPGA-based System Performance Prediction via Transfer Learning.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022
Prebypass: Software Register File Bypassing for Reduced Interconnection Architectures.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022
CELR: Cloud Enhanced Local Reconstruction from low-dose sparse Scanning Electron Microscopy images.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022
SACA: System-level Analog CIM Accelerators Simulation Framework: Architecture and Cycle-accurate System-to-device Simulator.
Proceedings of the 37th Conference on Design of Circuits and Integrated Systems, 2022
SACA: System-level Analog CIM Accelerators Simulation Framework: Accurate Simulation of Non-Ideal Components.
Proceedings of the 37th Conference on Design of Circuits and Integrated Systems, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
2021
Converter-Free Power Delivery Using Voltage Stacking for Near/Subthreshold Operation.
IEEE Trans. Very Large Scale Integr. Syst., 2021
CGRA-EAM - Rapid Energy and Area Estimation for Coarse-grained Reconfigurable Architectures.
ACM Trans. Reconfigurable Technol. Syst., 2021
Taming the State-space Explosion in the Makespan Optimization of Flexible Manufacturing Systems.
ACM Trans. Cyber Phys. Syst., 2021
Multi-Level Optimization of an Ultra-Low Power BrainWave System for Non-Convulsive Seizure Detection.
IEEE Trans. Biomed. Circuits Syst., 2021
IEEE Micro, 2021
CoRR, 2021
IEEE Access, 2021
Proceedings of the IEEE Winter Conference on Applications of Computer Vision, 2021
NeuroVP: A System-Level Virtual Platform for Integration of Neuromorphic Accelerators.
Proceedings of the 34th IEEE International System-on-Chip Conference, 2021
Proceedings of the SCOPES '21: 24th International Workshop on Software and Compilers for Embedded Systems, Eindhoven, The Netherlands, November 1, 2021
DominoSearch: Find layer-wise fine-grained N: M sparse schemes from dense neural networks.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021
Proceedings of the IEEE International Solid-State Circuits Conference, 2021
Characterization of Mems Microphone Sensitivity and Phase Distributions with Applications in Array Processing.
Proceedings of the IEEE International Conference on Acoustics, 2021
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2021
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021
2020
IEEE Trans. Emerg. Top. Comput., 2020
ACM Trans. Archit. Code Optim., 2020
ACM Trans. Archit. Code Optim., 2020
Microprocess. Microsystems, 2020
Real-time audio processing for hearing aids using a model-based bayesian inference framework.
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2020
Proceedings of the 9th Mediterranean Conference on Embedded Computing, 2020
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020
Proceedings of the 2020 IEEE International Conference on Communications, 2020
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020
NERO: A Near High-Bandwidth Memory Stencil Accelerator for Weather Prediction Modeling.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020
2019
ACM Trans. Archit. Code Optim., 2019
LocalNorm: Robust Image Classification through Dynamically Regularized Normalization.
CoRR, 2019
Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems, 2019
Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems, 2019
Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems, 2019
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019
IMACS: A Framework for Performance Evaluation of Image Approximation in a Closed-loop System.
Proceedings of the 8th Mediterranean Conference on Embedded Computing, 2019
Proceedings of the 8th Mediterranean Conference on Embedded Computing, 2019
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019
Robust Bayesian Beamforming for Sources at Different Distances with Applications in Urban Monitoring.
Proceedings of the IEEE International Conference on Acoustics, 2019
Blocks: Redesigning Coarse Grained Reconfigurable Architectures for Energy Efficiency.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019
NARMADA: Near-Memory Horizontal Diffusion Accelerator for Scalable Stencil Computations.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019
NAPEL: Near-Memory Computing Application Performance Prediction via Ensemble Learning.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
2018
IEEE Trans. Computers, 2018
Exploiting Specification Modularity to Prune the Optimization-Space of Manufacturing Systems.
Proceedings of the 21st International Workshop on Software and Compilers for Embedded Systems, 2018
AivoTTA: an energy efficient programmable accelerator for CNN-based object recognition.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018
Proceedings of the 15th International Conference on Control, 2018
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018
Quantization of Constrained Processor Data Paths Applied to Convolutional Neural Networks.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018
Proceedings of the 2018 International Symposium on Code Generation and Optimization, 2018
2017
ACM Trans. Archit. Code Optim., 2017
Automatic instruction-set architecture synthesis for VLIW processor cores in the ASAM project.
Microprocess. Microsystems, 2017
Identifying bottlenecks in manufacturing systems using stochastic criticality analysis.
Proceedings of the 2017 Forum on Specification and Design Languages, 2017
Proceedings of the Euromicro Conference on Digital System Design, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017
2016
End-to-End Latency Analysis of Dataflow Scenarios Mapped Onto Shared Heterogeneous Resources.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
IEEE Trans. Computers, 2016
EAI Endorsed Trans. Future Intell. Educ. Environ., 2016
Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems, 2016
Coarse grained reconfigurable architectures in the past 25 years: Overview and classification.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium, 2016
Proceedings of the 2016 International Conference on Supercomputing, 2016
Proceedings of the IEEE International Conference on Multimedia and Expo, 2016
A Fast Estimator of Performance with Respect to the Design Parameters of Self Re-Entrant Flowshops.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
The neuro vector engine: Flexibility to improve convolutional net efficiency for wearable vision.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
2015
J. Signal Process. Syst., 2015
J. Signal Process. Syst., 2015
Microprocess. Microsystems, 2015
Inf. Fusion, 2015
Concurr. Comput. Pract. Exp., 2015
Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, 2015
Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, 2015
Proceedings of the International Conference for High Performance Computing, 2015
Proceedings of the 13. ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2015
Proceedings of the 29th ACM on International Conference on Supercomputing, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 24th International Symposium on High-Performance Parallel and Distributed Computing, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Proceedings of the Workshop on Embedded and Cyber-Physical Systems Education, 2015
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015
A re-entrant flowshop heuristic for online scheduling of the paper path in a large scale printer.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Inter-tile reuse optimization applied to bandwidth constrained embedded accelerators.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
(AS)<sup>2</sup>: accelerator synthesis using algorithmic skeletons for rapid design space exploration.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Online multi-face detection and tracking using detector confidence and structured SVMs.
Proceedings of the 12th IEEE International Conference on Advanced Video and Signal Based Surveillance, 2015
Mixed-length SIMD code generation for VLIW architectures with multiple native vector-widths.
Proceedings of the 26th IEEE International Conference on Application-specific Systems, 2015
2014
ACM Trans. Archit. Code Optim., 2014
Microprocess. Microsystems, 2014
Proceedings of the 3rd Mediterranean Conference on Embedded Computing, 2014
Proceedings of the 3rd Mediterranean Conference on Embedded Computing, 2014
Automatic complex instruction identification for efficient application mapping onto ASIPs.
Proceedings of the IEEE 5th Latin American Symposium on Circuits and Systems, 2014
Proceedings of the 12th IEEE International Conference on Industrial Informatics, 2014
A tool for fast ground truth generation for object detection and tracking from video.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
Proceedings of the Euro-Par 2014: Parallel Processing Workshops, 2014
BuildMaster: Efficient ASIP architecture exploration through compilation and simulation result caching.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014
Timing analysis of First-Come First-Served scheduled interval-timed Directed Acyclic Graphs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Symbolic Analysis of Dataflow Applications Mapped onto Shared Heterogeneous Resources.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
An energy-efficient method of supporting flexible special instructions in an embedded processor with compact ISA.
ACM Trans. Archit. Code Optim., 2013
ACM Trans. Archit. Code Optim., 2013
Efficient communication support in predictable heterogeneous MPSoC designs for streaming applications.
J. Syst. Archit., 2013
Proceedings of the International Workshop on Software and Compilers for Embedded Systems, 2013
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013
OpenCL code generation for low energy wide SIMD architectures with explicit datapath.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013
Proceedings of the 19th IEEE Real-Time and Embedded Technology and Applications Symposium, 2013
Proceedings of the 24th IEEE International Symposium on Rapid System Prototyping, 2013
Proceedings of the 11th ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2013
Proceedings of the 2nd Mediterranean Conference on Embedded Computing, 2013
Proceedings of the Seventh International Conference on Distributed Smart Cameras, 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Simulation and architecture improvements of atomic operations on GPU scratchpad memory.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
A Reconfigurable Ray-Tracing Multi-Processor SoC with Hardware Replication-Aware Instruction Set Extension.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the 11th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2013
An Efficient Method for Energy Estimation of Application Specific Instruction-Set Processors.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Automatic Skeleton-Based Compilation through Integration with an Algorithm Classification.
Proceedings of the Advanced Parallel Processing Technologies, 2013
2012
The boat hull model: adapting the roofline model to enable performance prediction for parallel computing.
Proceedings of the 17th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the Euro-Par 2012 Parallel Processing - 18th International Conference, 2012
Minimizing Power Consumption of Spatial Division Based Networks-on-Chip Using Multi-path and Frequency Reduction.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
The boat hull model: enabling performance prediction for parallel computing prior to code development.
Proceedings of the Computing Frontiers Conference, CF'12, 2012
Energy efficient special instruction support in an embedded processor with compact isa.
Proceedings of the 15th International Conference on Compilers, 2012
Introducing 'Bones': a parallelizing source-to-source compiler based on algorithmic skeletons.
Proceedings of the 5th Annual Workshop on General Purpose Processing with Graphics Processing Units, 2012
2011
ACM Trans. Embed. Comput. Syst., 2011
From Xetal-II to Xetal-Pro: On the Road Toward an Ultralow-Energy and High-Throughput SIMD Processor.
IEEE Trans. Circuits Syst. Video Technol., 2011
Distributed resource management for concurrent execution of multimedia applications on MPSoC platforms.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011
Resource-Efficient Real-Time Scheduling Using Credit-Controlled Static-Priority Arbitration.
Proceedings of the 17th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2011
Proceedings of the IAPR Conference on Machine Vision Applications (IAPR MVA 2011), 2011
Analyzing synchronous dataflow scenarios for dynamic software-defined radio applications.
Proceedings of the 2011 International Symposium on System on Chip, 2011
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011
Proceedings of the 2011 Fifth ACM/IEEE International Conference on Distributed Smart Cameras, 2011
Proceedings of the 2011 Fifth ACM/IEEE International Conference on Distributed Smart Cameras, 2011
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Bringing Theory to Practice: Predictability and Performance in Embedded Systems, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Parallelization of while loops in nested loop programs for shared-memory multiprocessor systems.
Proceedings of the Design, Automation and Test in Europe, 2011
An MPSoC design approach for multiple use-cases of throughput constrainted applications.
Proceedings of the 8th Conference on Computing Frontiers, 2011
High performance predictable histogramming on GPUs: exploring and evaluating algorithm trade-offs.
Proceedings of 4th Workshop on General Purpose Processing on Graphics Processing Units, 2011
Proceedings of the Advances Concepts for Intelligent Vision Systems, 2011
Feasibility Analysis of Ultra High Frame Rate Visual Servoing on FPGA and SIMD Processor.
Proceedings of the Advances Concepts for Intelligent Vision Systems, 2011
Proceedings of the Advances Concepts for Intelligent Vision Systems, 2011
2010
J. Signal Process. Syst., 2010
Iterative Probabilistic Performance Prediction for Multi-Application Multiprocessor Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
An Ultra-Low-Energy Multi-Standard JPEG Co-Processor in 65 nm CMOS With Sub/Near Threshold Supply Voltage.
IEEE J. Solid State Circuits, 2010
CA-MPSoC: An automated design flow for predictable multi-processor architectures for multiple applications.
J. Syst. Archit., 2010
Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, 2010
Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, 2010
Proceedings of the 28th International Conference on Computer Design, 2010
Proceedings of the 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 7th Conference on Computing Frontiers, 2010
2009
ACM Trans. Design Autom. Electr. Syst., 2009
Qual. Reliab. Eng. Int., 2009
Perform. Evaluation, 2009
Microprocess. Microsystems, 2009
Dealing with data dependent conditions to enable general global source code transformations.
Int. J. Embed. Syst., 2009
Performance evaluation of concurrently executing parallel applications on multi-processor systems.
Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, 2009
Proceedings of the Information Systems: Modeling, 2009
An ultra-low-energy/frame multi-standard JPEG co-processor in 65nm CMOS with sub/near-threshold power supply.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009
Proceedings of the Wireless Sensor Networks, 6th European Conference, 2009
Exploring trade-offs between performance and resource requirements for synchronous dataflow graphs.
Proceedings of the 7th IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009
Proceedings of the 27th International Conference on Human Factors in Computing Systems, 2009
2008
Scenario Selection and Prediction for DVS-Aware Scheduling of Multimedia Applications.
J. Signal Process. Syst., 2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA.
ACM Trans. Design Autom. Electr. Syst., 2008
IEEE Des. Test Comput., 2008
Model Interpretation for Executable Observation Specifications.
Proceedings of the Twentieth International Conference on Software Engineering & Knowledge Engineering (SEKE'2008), 2008
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the Wireless Networks, 2008
Proceedings of the 2008 Second ACM/IEEE International Conference on Distributed Smart Cameras, 2008
Proceedings of the 2008 Second ACM/IEEE International Conference on Distributed Smart Cameras, 2008
Proceedings of the Forum on specification and Design Languages, 2008
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
Proceedings of the Advanced Concepts for Intelligent Vision Systems, 2008
Real-Time Hough Transform on 1-D SIMD Processors: Implementation and Architecture Exploration.
Proceedings of the Advanced Concepts for Intelligent Vision Systems, 2008
Proceedings of the First International Conference on Advances in Computer-Human Interaction, 2008
2007
ACM Trans. Archit. Code Optim., 2007
Design-time application mapping and platform exploration for MP-SoC customised run-time management.
IET Comput. Digit. Tech., 2007
EURASIP J. Embed. Syst., 2007
Exploiting the Expressiveness of Cyclo-Static Dataflow to Model Multimedia Implementations.
EURASIP J. Adv. Signal Process., 2007
Proceedings of the First International Symposium on Networks-on-Chips, 2007
Proceedings of the 10th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, 2007
Proceedings of the International Symposium on System-on-Chip, 2007
V<sub>t</sub> balancing and device sizing towards high yield of sub-threshold static logic gates.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007
Proceedings of the FPL 2007, 2007
Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, 2007
Very wide register: an asymmetric register file organization for low power embedded processors.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Interactive presentation: An FPGA design flow for reconfigurable network-based multi-processor systems on chip.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs.
Proceedings of the 44th Design Automation Conference, 2007
Proceedings of the 44th Design Automation Conference, 2007
A Probabilistic Approach to Model Resource Contention for Performance Estimation of Multi-featured Media Devices.
Proceedings of the 44th Design Automation Conference, 2007
Proceedings of the Seventh International Conference on Application of Concurrency to System Design (ACSD 2007), 2007
2006
J. Low Power Electron., 2006
RC-SIMD: Reconfigurable communication SIMD architecture for image processing applications.
J. Embed. Comput., 2006
IEICE Trans. Inf. Syst., 2006
Proceedings of the IEEE Workshop on Signal Processing Systems, 2006
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006
Proceedings of the Embedded Computer Systems: Architectures, 2006
Proceedings of the 12th IEEE Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2006), 2006
Proceedings of the Sixth International Conference on Quality Software (QSIC 2006), 2006
Proceedings of the International Symposium on System-on-Chip, 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Algorithmic skeletons for stream programming in embedded heterogeneous parallel image processing applications.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the Forum on specification and Design Languages, 2006
Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time Multimedia, 2006
Exploiting Hierarchical Configuration to Improve Run-Time MPSoC Task Assignment.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006
Proceedings of the Automated Technology for Verification and Analysis, 2006
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006
2005
IEEE Trans. Computers, 2005
J. Embed. Comput., 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the 9th International Workshop on Software and Compilers for Embedded Systems, Dallas, Texas, USA, September 29, 2005
Distributed Congestion Control for Packet Switched Networks on Chip.
Proceedings of the Parallel Computing: Current & Future Issues of High-End Computing, 2005
Proceedings of the 2005 International Symposium on System-on-Chip, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the Forum on specification and Design Languages, 2005
Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, 2005
Combining Data and Instruction Memory Energy Optimizations for Embedded Applications.
Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, 2005
Proceedings of the EMSOFT 2005, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the 2005 International Conference on Compilers, 2005
Power Breakdown Analysis for a Heterogeneous NoC Platform Running a Video Application.
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005
Proceedings of the Advanced Concepts for Intelligent Vision Systems, 2005
2004
Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), 2004
Proceedings of the 25th IEEE Real-Time Systems Symposium (RTSS 2004), 2004
Proceedings of the Integrated Circuit and System Design, 2004
A Unified Model for Analysis of Real-Time Properties.
Proceedings of the International Symposium on Leveraging Applications of Formal Methods, 2004
Proceedings of the Forum on specification and Design Languages, 2004
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004
2003
Global interconnect trade-off for technology over memory modules to application level: case study.
Proceedings of the 5th International Workshop on System-Level Interconnect Prediction (SLIP 2003), 2003
Proceedings of the Software and Compilers for Embedded Systems, 7th International Workshop, 2003
Proceedings of the 2003 Conference on Languages, 2003
Evaluating Template-Based Instruction Compression on Transport Triggered Architectures.
Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June, 2003
Proceedings of the 2003 International Symposium on System-on-Chip, 2003
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), 2003
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003
SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms.
Proceedings of the 2003 Design, 2003
Proceedings of the 2003 Design, 2003
Proceedings of the International Conference on Compilers, 2003
SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms.
Proceedings of the Embedded Software for SoC, 2003
2002
Proceedings of the Fourth IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2002), 2002
A Low Energy Clustered Instruction Memory Hierarchy for Long Instruction Word Processors.
Proceedings of the Integrated Circuit Design. Power and Timing Modeling, 2002
Proceedings of the Compiler Construction, 11th International Conference, 2002
2001
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001
Proceedings of the High-Performance Computing and Networking, 9th International Conference, 2001
Proceedings of the Ninth International Symposium on Hardware/Software Codesign, 2001
2000
Int. J. Parallel Program., 2000
Proceedings of the Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29, 2000
Proceedings of the Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29, 2000
Automatic SIMD Parallelization of Embedded Applications Based on Pattern Recognition.
Proceedings of the Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29, 2000
1999
Proceedings of the High-Performance Computing and Networking, 7th International Conference, 1999
Proceedings of the High-Performance Computing and Networking, 7th International Conference, 1999
Proceedings of the Seventh International Workshop on Hardware/Software Codesign, 1999
Proceedings of the Compiler Construction, 8th International Conference, 1999
Proceedings of the Compiler Construction, 8th International Conference, 1999
1998
Integr. Comput. Aided Eng., 1998
Future Gener. Comput. Syst., 1998
Design Space Exploration Algorithm for Heterogeneous Multi-Processor Embedded System Design.
Proceedings of the 35th Conference on Design Automation, 1998
Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, 1998
1997
ACM Trans. Program. Lang. Syst., 1997
Proceedings of the High-Performance Computing and Networking, 1997
Proceedings of the Fourth International on High-Performance Computing, 1997
Proceedings of the Fourth International on High-Performance Computing, 1997
Proceedings of the Proceedings 30st Annual Simulation Symposium (SS '97), April 7-9, 1997, 1997
Design of Heterogenous Multi-Processor Embedded Systems: Applying Functional Pipelining.
Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques (PACT '97), 1997
Microprocessor architectures - from VLIW to TTA.
Wiley, ISBN: 978-0-471-97157-3, 1997
1996
Proceedings of the Compiler Construction, 6th International Conference, 1996
1995
Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29, 1995
1994
Proceedings of the 27th Annual International Symposium on Microarchitecture, San Jose, California, USA, November 30, 1994
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994
Application Driven MIMD Communication Processor Design.
Proceedings of the Massively Parallel Processing Applications and Develompent, 1994
Code generation for transport triggered architectures.
Proceedings of the Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl, Germany, August 31, 1994
Proceedings of the Compiler Construction, 5th International Conference, 1994
1993
Move32int, a sea of gates realization of a high performance transport triggered architecture.
Microprocess. Microprogramming, 1993
Microprocess. Microprogramming, 1993
The OSI Model Applied to MIMD Communication Processor Design.
Proceedings of the Parallel Computing: Trends and Applications, 1993
1992
Comparing Software Pipelining for an Operation-Triggered and a Tarnsport-Triggered Architecture.
Proceedings of the Compiler Construction, 1992
1991
Proceedings of the Proceedings Supercomputing '91, 1991
Proceedings of the 24th Annual IEEE/ACM International Symposium on Microarchitecture, 1991
Proceedings of the Distributed Memory Computing, 2nd European Conference, 1991
Proceedings of the Distributed Memory Computing, 2nd European Conference, 1991
1989
Proceedings of the 22nd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1989