Hang Liu

Orcid: 0000-0001-6323-7388

Affiliations:
  • Stevens Institute of Technology, HPDA lab, Hoboken, NJ, USA
  • University of Massachusetts Lowell, MA, USA (former)
  • George Washington University, Washington, DC, USA (former, PhD 2017)


According to our database1, Hang Liu authored at least 76 papers between 2012 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
TeGraph+: Scalable Temporal Graph Processing Enabling Flexible Edge Modifications.
IEEE Trans. Parallel Distributed Syst., August, 2024

<i>TEA+</i>: A Novel Temporal Graph Random Walk Engine with Hybrid Storage Architecture.
ACM Trans. Archit. Code Optim., June, 2024

TAO: Re-Thinking DL-based Microarchitecture Simulation.
Proc. ACM Meas. Anal. Comput. Syst., 2024

Zero-Space Cost Fault Tolerance for Transformer-based Language Models on ReRAM.
CoRR, 2024

2023
Motif-Based Graph Representation Learning with Application to Chemical Molecules.
Informatics, March, 2023

ezLDA: Efficient and Scalable LDA on GPUs.
IEEE Access, 2023

PeeK: A Prune-Centric Approach for K Shortest Path Computation.
Proceedings of the International Conference for High Performance Computing, 2023

TANGO: re-thinking quantization for graph neural network training on GPUs.
Proceedings of the International Conference for High Performance Computing, 2023

Understanding Node Allocation on Leadership-Class Supercomputers with Graph Analytics.
Proceedings of the IEEE International Conference on High Performance Computing & Communications, 2023

TEA: A General-Purpose Temporal Graph Random Walk Engine.
Proceedings of the Eighteenth European Conference on Computer Systems, 2023

2022
PM-LSH: a fast and accurate in-memory framework for high-dimensional approximate NN and closest pair search.
VLDB J., 2022

gSoFa: Scalable Sparse Symbolic LU Factorization on GPUs.
IEEE Trans. Parallel Distributed Syst., 2022

iSpan: Parallel Identification of Strongly Connected Components with Spanning Trees.
ACM Trans. Parallel Comput., 2022

SimNet: Accurate and High-Performance Computer Architecture Simulation using Deep Learning.
Proc. ACM Meas. Anal. Comput. Syst., 2022

Scalable Deep Learning-Based Microarchitecture Simulation on GPUs.
Proceedings of the SC22: International Conference for High Performance Computing, 2022

Variance of the Gradient Also Matters: Privacy Leakage from Gradients.
Proceedings of the International Joint Conference on Neural Networks, 2022

Bring orders into uncertainty: enabling efficient uncertain graph processing via novel path sampling on multi-accelerator systems.
Proceedings of the ICS '22: 2022 International Conference on Supercomputing, Virtual Event, June 28, 2022

TeGraph: A Novel General-Purpose Temporal Graph Computing Engine.
Proceedings of the 38th IEEE International Conference on Data Engineering, 2022

A length adaptive algorithm-hardware co-design of transformer on FPGA through sparse attention and dynamic pipelining.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Sparse Progressive Distillation: Resolving Overfitting under Pretrain-and-Finetune Paradigm.
Proceedings of the 60th Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), 2022

T-GCN: A Sampling Based Streaming Graph Neural Network System with Hybrid Architecture.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2022

2021
Trust: Triangle Counting Reloaded on GPUs.
IEEE Trans. Parallel Distributed Syst., 2021

Optimizing Job Reliability Through Contention-Free, Distributed Checkpoint Scheduling.
IEEE Trans. Netw. Serv. Manag., 2021

Detecting Gender Bias in Transformer-based Models: A Case Study on BERT.
CoRR, 2021

Sparse Progressive Distillation: Resolving Overfitting under Pretrain-and-Finetune Paradigm.
CoRR, 2021

Optimizing FPGA-based Accelerator Design for Large-Scale Molecular Similarity Search.
CoRR, 2021

Binary Complex Neural Network Acceleration on FPGA.
CoRR, 2021

SimNet: Computer Architecture Simulation using Machine Learning.
CoRR, 2021

TAG: Transformer Attack from Gradient.
CoRR, 2021

Universal location referencing and homomorphic evaluation of geospatial query.
Comput. Secur., 2021

Dr. Top-k: delegate-centric Top-k on GPUs.
Proceedings of the International Conference for High Performance Computing, 2021

E.T.: re-thinking self-attention for transformer models on GPUs.
Proceedings of the International Conference for High Performance Computing, 2021

Accelerating Transformer-based Deep Learning Models on FPGAs using Column Balanced Block Pruning.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

FORMS: Fine-grained Polarized ReRAM-based In-situ Computation for Mixed-signal DNN Accelerator.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Against Membership Inference Attack: Pruning is All You Need.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

Optimizing FPGA-based Accelerator Design for Large-Scale Molecular Similarity Search (Special Session Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

HMC-TRAN: A Tensor-core Inspired Hierarchical Model Compression for Transformer-based DNNs on GPU.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

Tahoe: tree structure-aware high performance inference engine for decision tree ensemble on GPU.
Proceedings of the EuroSys '21: Sixteenth European Conference on Computer Systems, 2021

TAG: Gradient Attack on Transformer-based Language Models.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2021, 2021

Binary Complex Neural Network Acceleration on FPGA : (Invited Paper).
Proceedings of the 32nd IEEE International Conference on Application-specific Systems, 2021

2020
PM-LSH: A Fast and Accurate LSH Framework for High-Dimensional Approximate NN Search.
Proc. VLDB Endow., 2020

SAPAG: A Self-Adaptive Privacy Attack From Gradients.
CoRR, 2020

MCMIA: Model Compression Against Membership Inference Attack in Deep Neural Networks.
CoRR, 2020

GSoFa: Scalable Sparse LU Symbolic Factorization on GPUs.
CoRR, 2020

C-SAW: a framework for graph sampling and random walk on GPUs.
Proceedings of the International Conference for High Performance Computing, 2020

ELDA: LDA made efficient via algorithm-system codesign submission.
Proceedings of the PPoPP '20: 25th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2020

FTRANS: energy-efficient acceleration of transformers using FPGA.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

BranchSpec: Information Leakage Attacks Exploiting Speculative Branch Instruction Executions.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

FFT-based Gradient Sparsification for the Distributed Training of Deep Neural Networks.
Proceedings of the HPDC '20: The 29th International Symposium on High-Performance Parallel and Distributed Computing, 2020

SWARMGRAPH: Analyzing Large-Scale In-Memory Graphs on GPUs.
Proceedings of the 22nd IEEE International Conference on High Performance Computing and Communications; 18th IEEE International Conference on Smart City; 6th IEEE International Conference on Data Science and Systems, 2020

FTDL: An FPGA-tailored Architecture for Deep Learning Systems.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

Efficient Transformer-based Large Scale Language Representations using Hardware-friendly Block Structured Pruning.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2020, 2020

FTDL: A Tailored FPGA-Overlay for Deep Learning with High Scalability.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Universal Location Referencing and Homomorphic Evaluation of Geospatial Query.
IACR Cryptol. ePrint Arch., 2019

SIMD-X: Programming and Processing of Graph Algorithms on GPUs.
Proceedings of the 2019 USENIX Annual Technical Conference, 2019

CECI: Compact Embedding Cluster Index for Scalable Subgraph Matching.
Proceedings of the 2019 International Conference on Management of Data, 2019

H-INDEX: Hash-Indexing for Parallel Triangle Counting on GPUs.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019

XBFS: eXploring Runtime Optimizations for Breadth-First Search on GPUs.
Proceedings of the 28th International Symposium on High-Performance Parallel and Distributed Computing, 2019

Software Hardware Co-Optimized BFS on FPGAs.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

Dr. BFS: Data Centric Breadth-First Search on FPGAs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Deanonymizing Cryptocurrency With Graph Learning: The Promises and Challenges.
Proceedings of the 7th IEEE Conference on Communications and Network Security, 2019

2018
SuperNeurons: FFT-based Gradient Sparsification in the Distributed Training of Deep Neural Networks.
CoRR, 2018

iSpan: parallel identification of strongly connected components with spanning trees.
Proceedings of the International Conference for High Performance Computing, 2018

TriCore: parallel triangle counting on GPUs.
Proceedings of the International Conference for High Performance Computing, 2018

High-Performance Triangle Counting on GPUs.
Proceedings of the 2018 IEEE High Performance Extreme Computing Conference, 2018

UKSM: Swift Memory Deduplication via Hierarchical and Adaptive Memory Region Distilling.
Proceedings of the 16th USENIX Conference on File and Storage Technologies, 2018

Do Bitcoin Users Really Care About Anonymity? An Analysis of the Bitcoin Transaction Graph.
Proceedings of the IEEE International Conference on Big Data (IEEE BigData 2018), 2018

2017
Graphene: Fine-Grained IO Management for Graph Computing.
Proceedings of the 15th USENIX Conference on File and Storage Technologies, 2017

2016
Computational modeling of cardiac hemodynamics: Current status and future outlook.
J. Comput. Phys., 2016

iBFS: Concurrent Breadth-First Search on GPUs.
Proceedings of the 2016 International Conference on Management of Data, 2016

2015
Enterprise: breadth-first graph traversal on GPUs.
Proceedings of the International Conference for High Performance Computing, 2015

2014
Optimizing job reliability via contention-free, distributed scheduling of vm checkpointing.
Proceedings of the 2014 ACM SIGCOMM workshop on Distributed cloud computing, 2014

Big data machine learning and graph analytics: Current state and future challenges.
Proceedings of the 2014 IEEE International Conference on Big Data (IEEE BigData 2014), 2014

2013
GPU-accelerated scalable solver for banded linear systems.
Proceedings of the 2013 IEEE International Conference on Cluster Computing, 2013

2012
Abstract: Matrix Decomposition Based Conjugate Gradient Solver for Poisson Equation.
Proceedings of the 2012 SC Companion: High Performance Computing, 2012

Poster: Matrix Decomposition Based Conjugate Gradient Solver for Poisson Equation.
Proceedings of the 2012 SC Companion: High Performance Computing, 2012


  Loading...