Grigorios Magklis
According to our database1,
Grigorios Magklis
authored at least 29 papers
between 1999 and 2021.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2021
Accelerating ML Recommendation with over a Thousand RISC-V/Tensor Processors on Esperanto's ET-SoC-1 Chip.
Proceedings of the IEEE Hot Chips 33 Symposium, 2021
2017
2014
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014
2011
Trans. High Perform. Embed. Archit. Compil., 2011
Thread shuffling: combining DVFS and thread migration toreduce energy consumptions for multi-core systems.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011
2010
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01729-2, 2010
Thread-management techniques to maximize efficiency in multicore and simultaneous multithreaded microprocessors.
ACM Trans. Archit. Code Optim., 2010
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010
2009
Proceedings of the PACT 2009, 2009
2008
Proceedings of the 9th workshop on MEmory performance, 2008
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008
Meeting points: using thread criticality to adapt multicore hardware to parallel regions.
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008
2007
IEEE Trans. Parallel Distributed Syst., 2007
Proceedings of the 2007 workshop on MEmory performance, 2007
2006
Independent front-end and back-end dynamic voltage scaling for a GALS microarchitecture.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006
2005
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005
2004
Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 2004
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004
Proceedings of the 10th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2004), 2004
Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques (PACT 2004), 29 September, 2004
2003
IEEE Micro, 2003
Profile-Based Dynamic Voltage and Frequency Scaling for a Multiple Clock Domain Microprocessor.
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003
2002
Proceedings of the 35th Annual International Symposium on Microarchitecture, 2002
Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling.
Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 2002
1999
Parallel Distributed Comput. Pract., 1999