Giovanni De Micheli
Orcid: 0000-0002-7827-3215Affiliations:
- Swiss Federal Institute of Technology in Lausanne, Switzerland
According to our database1,
Giovanni De Micheli
authored at least 636 papers
between 1983 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2001, "For his contributions to the design technologies of integrated circuits and systems and for his service to the community via a prominent textbook.".
IEEE Fellow
IEEE Fellow 1994, "For contribution to synthesis algorithms for the design of electronic circuits and systems.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on orcid.org
-
on id.loc.gov
-
on d-nb.info
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2024
Expediting Homomorphic Computation via Multiplicative Complexity-aware Multiplicative Depth Minimization.
IACR Cryptol. ePrint Arch., 2024
Proceedings of the IEEE International Symposium on Circuits and Systems, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
VACSEM: Verifying Average Errors in Approximate Circuits Using Simulation-Enhanced Model Counting.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Late Breaking Results: Majority-Inverter Graph Minimization by Design Space Exploration.
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023
IEEE Des. Test, October, 2023
Cryptogr., September, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023
Accuracy recovery: A decomposition procedure for the synthesis of partially-specified Boolean functions.
Integr., March, 2023
CoRR, 2023
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023
Proceedings of the IEEE International Conference on Integrated Circuits, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2023
Compound Logic Gates for Pipeline Depth Minimization in Single Flux Quantum Integrated Systems.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
Proceedings of the 15th IEEE International Conference on ASIC, 2023
2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Advances in Quantum Computation and Quantum Technologies: A Design Automation Perspective.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022
An Automated Testing and Debugging Toolkit for Gate-Level Logic Synthesis Applications.
CoRR, 2022
CoRR, 2022
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Real-Time Multi-Ion-Monitoring Front-End With Interference Compensation by Multi-Output Support Vector Regressor.
IEEE Trans. Biomed. Circuits Syst., 2021
IEEE Des. Test, 2021
Irredundant Buffer and Splitter Insertion and Scheduling-Based Optimization for AQFP Circuits.
CoRR, 2021
Multi-Ion-Sensing Emulator and Multivariate Calibration Optimization by Machine Learning Models.
IEEE Access, 2021
Optimizing Adiabatic Quantum-Flux-Parametron (AQFP) Circuits using an Exact Database.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021
Proceedings of the 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2021
From Boolean functions to quantum circuits: A scalable quantum compilation flow in C++.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Preserving Self-Duality During Logic Synthesis for Emerging Reconfigurable Nanotechnologies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
A Logic Synthesis Toolbox for Reducing the Multiplicative Complexity in Logic Networks.
IACR Cryptol. ePrint Arch., 2020
Proceedings of the 50th IEEE International Symposium on Multiple-Valued Logic, 2020
Proceedings of the 50th IEEE International Symposium on Multiple-Valued Logic, 2020
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020
Multiplier Architectures: Challenges and Opportunities with Plasmonic-based Logic : (Special Session Paper).
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
Devices and Circuits Using Novel 2-D Materials: A Perspective for Future VLSI Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
Fast Procedures for the Electrodeposition of Platinum Nanostructures on Miniaturized Electrodes for Improved Ion Sensing.
Sensors, 2019
Proc. IEEE, 2019
Proceedings of the Proceedings 16th International Conference on Quantum Physics and Logic, 2019
CoRR, 2019
Proceedings of the Reversible Computation - 11th International Conference, 2019
Proceedings of the 15th Conference on Ph.D. Research in Microelectronics and Electronics, 2019
Proceedings of the 22nd Workshop Methods and Description Languages for Modelling and Verification of Circuits and Systems, 2019
Proceedings of the IEEE 8th International Workshop on Advances in Sensors and Interfaces, 2019
Proceedings of the 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), 2019
Reversible Pebble Games for Reducing Qubits in Hierarchical Quantum Circuit Synthesis.
Proceedings of the 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), 2019
Direct and Catalyst-Free Growth of Vertically-Stacked Graphene-Based Structures for Enhanced Drug Sensing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Flexible sweat sensors for non-invasive optimization of lithium dose in psychiatric disorders.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019
Proceedings of the International Conference on Computer-Aided Design, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Reducing the Multiplicative Complexity in Logic Networks for Cryptography and Security Applications.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
Proceedings of the Handbook of Memristor Networks., 2019
2018
An IoT Solution for Online Monitoring of Anesthetics in Human Serum Based on an Integrated Fluidic Bioelectronic System.
IEEE Trans. Biomed. Circuits Syst., 2018
Towards Ultrasound Everywhere: A Portable 3D Digital Back-End Capable of Zone and Compound Imaging.
IEEE Trans. Biomed. Circuits Syst., 2018
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018
Safe and Efficient Deployment of Data-Parallelizable Applications on Many-Core Platforms: Theory and Practice.
IEEE Des. Test, 2018
Proceedings of the Reversible Computation - 10th International Conference, 2018
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018
Proceedings of the 2018 IEEE International Symposium on Medical Measurements and Applications, 2018
Generating Safety Guidance for Medical Injection with Three-Compartment Pharmacokinetics Model.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018
Portable Memristive Biosensing System as Effective Point-of-Care Device for Cancer Diagnostics.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
A novel electrochemical sensor for non-invasive monitoring of lithium levels in mood disorders.
Proceedings of the 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2018
Doping-free complementary inverter enabled by 2D WSe2 electrostatically-doped reconfigurable transistors.
Proceedings of the 76th Device Research Conference, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
A best-fit mapping algorithm to facilitate ESOP-decomposition in Clifford+T quantum network synthesis.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
2017
IEEE Trans. Emerg. Top. Comput., 2017
Circuit Designs of High-Performance and Low-Power RRAM-Based Multiplexers Based on 4T(ransistor)1R(RAM) Programming Structure.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017
IEEE Trans. Circuits Syst. I Regul. Pap., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Biomed. Circuits Syst., 2017
A Differential Electrochemical Readout ASIC With Heterogeneous Integration of Bio-Nano Sensors for Amperometric Sensing.
IEEE Trans. Biomed. Circuits Syst., 2017
IEEE Des. Test, 2017
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017
Proceedings of the 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017
Inverter Propagation and Fan-Out Constraints for Beyond-CMOS Majority-Based Technologies.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017
Proceedings of the 47th IEEE International Symposium on Multiple-Valued Logic, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the 2017 Conference on Design and Architectures for Signal and Image Processing, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017
Raspberry Pi driven flow-injection system for electrochemical continuous monitoring platforms.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
2016
IEEE Trans. Circuits Syst. I Regul. Pap., 2016
IEEE Trans. Circuits Syst. I Regul. Pap., 2016
Efficient Statistical Parameter Selection for Nonlinear Modeling of Process/Performance Variation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
IEEE Trans. Biomed. Circuits Syst., 2016
In-Vivo Validation of Fully Implantable Multi-Panel Devices for Remote Monitoring of Metabolism.
IEEE Trans. Biomed. Circuits Syst., 2016
Microelectron. J., 2016
Impact of data serialization over TSVs on routing congestion in 3D-stacked multi-core processors.
Microelectron. J., 2016
ACM J. Emerg. Technol. Comput. Syst., 2016
ACM J. Emerg. Technol. Comput. Syst., 2016
IEEE J. Emerg. Sel. Topics Circuits Syst., 2016
Proceedings of the Theory and Applications of Satisfiability Testing - SAT 2016, 2016
Proceedings of the Reversible Computation - 8th International Conference, 2016
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016
Proceedings of the Wireless Mobile Communication and Healthcare, 2016
Proceedings of the 46th IEEE International Symposium on Multiple-Valued Logic, 2016
Resistance impact by long connections on electrical behavior of integrated Memristive Biosensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Simultaneous monitoring of anesthetics and therapeutic compounds with a portable multichannel potentiostat.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
One-step rapid synthesis of Au-Pt nanofems for electrochemical sensing and biosensing.
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016
Fast generation of lexicographic satisfiable assignments: enabling canonicity in SAT-based applications.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the Hardware and Software: Verification and Testing, 2016
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016
Nano-fabricated memristive biosensors for biomedical applications with liquid and dried samples.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
A power-efficient 3-D on-chip interconnect for multi-core accelerators with stacked L2 cache.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Exploiting inherent characteristics of reversible circuits for faster combinational equivalence checking.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Conference on Design and Architectures for Signal and Image Processing (DASIP), 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
2015
Cost-Effective Design of Mesh-of-Tree Interconnect for Multicore Clusters With 3-D Stacked L2 Scratchpad Memory.
IEEE Trans. Very Large Scale Integr. Syst., 2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
Layout Technique for Double-Gate Silicon Nanowire FETs With an Efficient Sea-of-Tiles Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2015
A Survey on Low-Power Techniques with Emerging Technologies: From Devices to Systems.
ACM J. Emerg. Technol. Comput. Syst., 2015
FRAME: Fast and Realistic Attacker Modeling and Evaluation for Temporal Logical Correlation in Static Noise.
CoRR, 2015
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015
Reliable redundancy with memristive-biosensors to achieve statistical significance in immunosensing.
Proceedings of the 6th International Workshop on Advances in Sensors and Interfaces, 2015
On the Design of a Fault Tolerant Ripple-Carry Adder with Controllable-Polarity Transistors.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015
Proceedings of the 2015 IEEE International Symposium on Multiple-Valued Logic, 2015
Full system for translational studies of personalized medicine with free-moving mice.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the 2015 International Joint Conference on Neural Networks, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Exploiting the Expressive Power of Graphene Reconfigurable Gates via Post-Synthesis Optimization.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Towards More Efficient Logic Blocks By Exploiting Biconditional Expansion (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015
A surface potential and current model for polarity-controllable silicon nanowire FETs.
Proceedings of the 45th European Solid State Device Research Conference, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Wireless monitoring in intensive care units by a 3D-printed system with embedded electronic.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015
Biocompatible packagings for fully implantable multi-panel devices for remote monitoring of metabolism.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
2014
Configurable Circuits Featuring Dual-Threshold-Voltage Design With Three-Independent-Gate Silicon Nanowire FETs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014
Energy/Reliability Trade-Offs in Low-Voltage ReRAM-Based Non-Volatile Flip-Flop Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014
An Integrated Control and Readout Circuit for Implantable Multi-Target Electrochemical Biosensing.
IEEE Trans. Biomed. Circuits Syst., 2014
Full Fabrication and Packaging of an Implantable Multi-Panel Device for Monitoring of Metabolites in Small Animals.
IEEE Trans. Biomed. Circuits Syst., 2014
System Level Benchmarking with Yield-Enhanced Standard Cell Library for Carbon Nanotube VLSI Circuits.
ACM J. Emerg. Technol. Comput. Syst., 2014
Int. J. Artif. Intell. Tools, 2014
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014
Novel configurable logic block architecture exploiting controllable-polarity transistors.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014
Fast process variation analysis in nano-scaled technologies using column-wise sparse parameter selection.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014
Proceedings of the IEEE 5th Latin American Symposium on Circuits and Systems, 2014
Unlocking Controllable-Polarity Transistors Opportunities by Exclusive-OR and Majority Logic Synthesis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014
Temperature-aware runtime power management for chip-multiprocessors with 3-D stacked cache.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
Novel grid-based power routing scheme for regular controllable-polarity FET arrangements.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Quantitative estimation of biological cell surface receptors by segmenting conventional fluorescence microscopy images.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Proceedings of the 2014 IEEE International Conference on IC Design & Technology, 2014
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014
Electrochemical biochip for applications to wireless and batteryless monitoring of free-moving mice.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Panel: Emerging vs. established technologies, a two sphinxes' riddle at the crossroads?
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Majority-Inverter Graph: A Novel Data-Structure and Algorithms for Efficient Logic Optimization.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014
Live demonstration: A smart camera for real-time monitoring of fluorescent cell biomarkers.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014
Safe Implementation of Embedded Software for a Portable Device Supporting Drug Administration.
Proceedings of the 2014 IEEE International Conference on Bioinformatics and Bioengineering, 2014
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
2013
Timing Uncertainty in 3-D Clock Trees Due to Process Variations and Power Supply Noise.
IEEE Trans. Very Large Scale Integr. Syst., 2013
IEEE Trans. Ind. Informatics, 2013
ACM Trans. Embed. Comput. Syst., 2013
Power-Gated Differential Logic Style Based on Double-Gate Controllable-Polarity Transistors.
IEEE Trans. Circuits Syst. II Express Briefs, 2013
IEEE Trans. Computers, 2013
IEEE Trans. Biomed. Circuits Syst., 2013
An integrated, programming model-driven framework for NoC-QoS support in cluster-based embedded many-cores.
Parallel Comput., 2013
J. Low Power Electron., 2013
Cell transformations and physical design techniques for 3D monolithic integrated circuits.
ACM J. Emerg. Technol. Comput. Syst., 2013
A combined sensor placement and convex optimization approach for thermal management in 3D-MPSoC with liquid cooling.
Integr., 2013
A ReRAM-based non-volatile flip-flop with sub-VT read and CMOS voltage-compatible write.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013
SATSoT: A methodology to map controllable-polarity devices on a regular fabric using SAT.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013
Vertically-stacked silicon nanowire transistors with controllable polarity: A robustness study.
Proceedings of the 14th Latin American Test Workshop, 2013
Proceedings of the 5th IEEE International Workshop on Advances in Sensors and Interfaces, 2013
Proceedings of the International Symposium on Quality Electronic Design, 2013
Dual-threshold-voltage configurable circuits with three-independent-gate silicon nanowire FETs.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013
Characterization of standard CMOS compatible photodiodes and pixels for Lab-on-Chip devices.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Vertically-stacked double-gate nanowire FETs with controllable polarity: from devices to regular ASICs.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Biconditional BDD: a novel canonical BDD for logic synthesis targeting XOR-rich circuits.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013
MIXSyn: An efficient logic synthesis methodology for mixed XOR-AND/OR dominated circuits.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
2012
ACM Trans. Design Autom. Electr. Syst., 2012
New Approaches for Carbon Nanotubes-Based Biosensors and Their Application to Cell Culture Monitoring.
IEEE Trans. Biomed. Circuits Syst., 2012
Electrochemical Detection of Anti-Breast-Cancer Agents in Human Serum by Cytochrome P450-Coated Carbon Nanotubes.
Sensors, 2012
Multiterminal Memristive Nanowire Devices for Logic and Memory Applications: A Review.
Proc. IEEE, 2012
ACM J. Emerg. Technol. Comput. Syst., 2012
A Buffer-Sizing Algorithm for Network-on-Chips with Multiple Voltage-Frequency Islands.
J. Electr. Comput. Eng., 2012
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012
A high-throughput and low-latency interconnection network for multi-core Clusters with 3-D stacked L2 tightly-coupled data memory.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012
Proceedings of the VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design, 2012
3D-LIN: A configurable low-latency interconnect for multi-core clusters with 3D stacked L1 memory.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012
CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012
Process/design co-optimization of regular logic tiles for double-gate silicon nanowire transistors.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012
The combined effect of process variations and power supply noise on clock skew and jitter.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012
Quantitative comparison of commercial CCD and custom-designed CMOS camera for biological applications.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012
Proceedings of the 17th IEEE European Test Symposium, 2012
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Physical synthesis onto a Sea-of-Tiles with double-gate silicon nanowire transistors.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
A current-mode potentiostat for multi-target detection tested with different lactate biosensors.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012
Design, fabrication, and test of a sensor array for perspective biosensing in chronic pathologies.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012
Proceedings of the 2012 IEEE International Conference on Bioinformatics and Biomedicine Workshops, 2012
Medical guidelines reconciling medical software and electronic devices: Imatinib case-study.
Proceedings of the 12th IEEE International Conference on Bioinformatics & Bioengineering, 2012
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012
2011
Proceedings of the 3D Integration for NoC-based SoC Architectures, 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Hierarchical Thermal Management Policy for High-Performance 3D Systems With Liquid Cooling.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011
Proceedings of the Industrial Embedded Systems (SIES), 2011
Convex-Based Thermal Management for 3D MPSoCs Using DVFS and Variable-Flow Liquid Cooling.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011
pH sensing with temperature compensation in a Molecular Biosensor for drugs detection.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Thermal-aware system-level modeling and management for Multi-Processor Systems-on-Chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the Formal Methods for Components and Objects, 10th International Symposium, 2011
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Personalized modeling for drug concentration prediction using Support Vector Machine.
Proceedings of the 4th International Conference on Biomedical Engineering and Informatics, 2011
CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011
Proceedings of the Low Power Networks-on-Chip., 2011
2010
Comparative Analysis of NoCs for Two-Dimensional Versus Three-Dimensional SoCs Supporting Multiple Voltage and Frequency Islands.
IEEE Trans. Circuits Syst. II Express Briefs, 2010
SunFloor 3D: A Tool for Networks on Chip Topology Synthesis for 3-D Systems on Chips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
Microelectron. J., 2010
Proceedings of the International Workshop on System Level Interconnect Prediction Workshop, 2010
Regular fabric design with ambipolar CNTFETs for FPGA and structured ASIC applications.
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Design aspects of carry lookahead adders with vertically-stacked nanowire transistors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Design of a CNFET array for sensing and control in P450 based biochips for multiple drug detection.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the 17th IEEE International Conference on Electronics, 2010
Proceedings of the 17th IEEE International Conference on Electronics, 2010
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement.
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010
Proceedings of the 10th IEEE International Conference on Bioinformatics and Bioengineering, 2010
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010
Proceedings of the IEEE International Conference on 3D System Integration, 2010
2009
IEEE Trans. Circuits Syst. I Regul. Pap., 2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
Proceedings of the Nano-Net - 4th International ICST Conference, 2009
Proceedings of the Nano-Net - 4th International ICST Conference, 2009
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
An Analytical Model for the Contention Access Period of the Slotted IEEE 802.15.4 with Service Differentiation.
Proceedings of IEEE International Conference on Communications, 2009
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Physically clustered forward body biasing for variability compensation in nanometer CMOS design.
Proceedings of the Design, Automation and Test in Europe, 2009
Novel library of logic gates with ambipolar CNTFETs: Opportunities for multi-level logic synthesis.
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis.
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the 46th Design Automation Conference, 2009
Proceedings of the 46th Design Automation Conference, 2009
Complete nanowire crossbar framework optimized for the multi-spacer patterning technique.
Proceedings of the 2009 International Conference on Compilers, 2009
Implementation of an Automated ECG-based Diagnosis Algorithm for a Wireless Body Sensor Plataform.
Proceedings of the BIODEVICES 2009, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
A stochastic perturbative approach to design a defect-aware thresholder in the sense amplifier of crossbar memories.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
Proceedings of the Embedded Systems Design and Verification, 2009
2008
Variability-Aware Design of Multilevel Logic Decoders for Nanoscale Crossbar Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
Joint hardware-software leakage minimization approach for the register file of VLIW embedded architectures.
Integr., 2008
Int. J. Embed. Syst., 2008
IEEE Des. Test Comput., 2008
Comput. Math. Appl., 2008
Bioinform., 2008
Proceedings of the 6th International Symposium on Modeling and Optimization in Mobile, 2008
A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication.
Proceedings of the VLSI-SoC: Design Methodologies for SoC and SiP, 2008
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008
OS-Based Sensor Node Platform and Energy Estimation Model for Health-Care Wireless Sensor Networks.
Proceedings of the Design, Automation and Test in Europe, 2008
Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization.
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
2007
A Method for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance Gaurantees.
VLSI Design, 2007
IEEE Trans. Very Large Scale Integr. Syst., 2007
Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2007
ACM Trans. Design Autom. Electr. Syst., 2007
IEEE Trans. Inf. Technol. Biomed., 2007
Reconfiguration Strategies for Environmentally Powered Devices: Theoretical Analysis and Experimental Validation.
Trans. High Perform. Embed. Archit. Compil., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Clustering protein environments for function prediction: finding PROSITE motifs in 3D.
BMC Bioinform., 2007
Proceedings of the Ninth International Workshop on System-Level Interconnect Prediction (SLIP 2007), 2007
An Efficient Method for Dynamic Analysis of Gene Regulatory Networks and <i>in silico</i> Gene Perturbation Experiments.
Proceedings of the Research in Computational Molecular Biology, 2007
Proceedings of the First International Symposium on Networks-on-Chips, 2007
Proceedings of the First International Symposium on Networks-on-Chips, 2007
MiGra: A Task Migration Algorithm for Reducing Temperature Gradient in Multiprocessor Systems on Chip.
Proceedings of the International Symposium on System-on-Chip, 2007
Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007
Proceedings of the 14th IEEE International Conference on Electronics, 2007
Proceedings of the 14th IEEE International Conference on Electronics, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Multi-processor operating system emulation framework with thermal feedback for systems-on-chip.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Temperature-aware processor frequency assignment for MPSoCs using convex optimization.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Proceedings of the IFIP VLSI-SoC 2006, 2006
Proceedings of the VLSI-SoC: Research Trends in VLSI and Systems on Chip, 2006
Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips.
Proceedings of the IFIP VLSI-SoC 2006, 2006
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006
Proceedings of the 1st International ICST Conference on Nano-Networks, 2006
Comparison of a Timing-Error Tolerant Scheme with a Traditional Re-transmission Mechanism for Networks on Chips.
Proceedings of the International Symposium on System-on-Chip, 2006
Proceedings of the 11th IEEE Symposium on Computers and Communications (ISCC 2006), 2006
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006
Proceedings of the 28th International Conference of the IEEE Engineering in Medicine and Biology Society, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip.
Proceedings of the 43rd Design Automation Conference, 2006
A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip.
Proceedings of the 43rd Design Automation Conference, 2006
A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006
Proceedings of the Third Conference on Computing Frontiers, 2006
Proceedings of the 19th IEEE International Symposium on Computer-Based Medical Systems (CBMS 2006), 2006
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006
Networks on chips - technology and tools.
The Morgan Kaufmann series in systems on silicon, Elsevier Morgan Kaufmann, ISBN: 978-0-12-370521-1, 2006
2005
Proceedings of the Embedded Systems Handbook., 2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Trans. Parallel Distributed Syst., 2005
Discovering Coherent Biclusters from Gene Expression Data Using Zero-Suppressed Binary Decision Diagrams.
IEEE ACM Trans. Comput. Biol. Bioinform., 2005
Error control schemes for on-chip communication links: the energy-reliability tradeoff.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
IEEE Des. Test Comput., 2005
IEEE Des. Test Comput., 2005
IEEE Des. Test Comput., 2005
Proceedings of the Integrated Circuit and System Design, 2005
Exploration and Tuning of Custom NoC Topologies Using an FPGA-Based Framework.
Proceedings of the Parallel Computing: Current & Future Issues of High-End Computing, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005
Proceedings of the ECCB/JBI'05 Proceedings, Fourth European Conference on Computational Biology/Sixth Meeting of the Spanish Bioinformatics Network (Jornadas de BioInformática), Palacio de Congresos, Madrid, Spain, September 28, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Network On-Chip Design for Gigascale Systems-on-Chip.
Proceedings of the Industrial Information Technology Handbook, 2005
2004
J. Syst. Archit., 2004
On-Chip Self-Calibrating Communication Techniques Robust to Electrical Parameter Variations.
IEEE Des. Test Comput., 2004
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 4th IEEE International Symposium on BioInformatics and BioEngineering (BIBE 2004), 2004
Proceedings of the Ultra Low-Power Electronics and Design, 2004
2003
Complex instruction and software library mapping for embedded software using symbolic algebra.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
CASS Brings Publishing to Its DAC Partnership.
IEEE Des. Test Comput., 2003
Proceedings of the Power-Aware Computer Systems, Third International Workshop, 2003
Proceedings of the 1st ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2003), 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003
2002
IEEE Trans. Very Large Scale Integr. Syst., 2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
IEEE Trans. Computers, 2002
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002
Contents provider-assisted dynamic voltage scaling for low energy multimedia applications.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 39th Design Automation Conference, 2002
Proceedings of the 39th Design Automation Conference, 2002
Readings in hardware / software co-design.
Morgan Kaufmann, ISBN: 978-1-55860-702-6, 2002
2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Resolution, optimization, and encoding of pointer variables for thebehavioral synthesis from C.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Synthesis of power-managed sequential components based oncomputational kernel extraction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Powering Networks on Chips: Energy-Efficient and Reliable Interconnect Design for SoCs.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the Conference on Design, Automation and Test in Europe, 2001
Proceedings of the 38th Design Automation Conference, 2001
Proceedings of the 38th Design Automation Conference, 2001
2000
IEEE Trans. Very Large Scale Integr. Syst., 2000
IEEE Trans. Very Large Scale Integr. Syst., 2000
ACM Trans. Design Autom. Electr. Syst., 2000
ACM Trans. Design Autom. Electr. Syst., 2000
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000
Des. Autom. Embed. Syst., 2000
Proceedings of the 13th International Symposium on System Synthesis, 2000
Proceedings of the 13th International Symposium on System Synthesis, 2000
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Resolution of Dynamic Memory Allocation and Pointers for the Behavioral Synthesis from C.
Proceedings of the 2000 Design, 2000
Proceedings of the 2000 Design, 2000
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000
1999
Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers.
ACM Trans. Design Autom. Electr. Syst., 1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
Automatic Synthesis of Large Telescopic Units Based on Near-Minimum Timed Supersetting.
IEEE Trans. Computers, 1999
IEEE J. Solid State Circuits, 1999
Proceedings of the 12th International Symposium on System Synthesis, 1999
Efficient switching activity computation during high-level synthesis of control-dominated designs.
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999
Proceedings of the 1999 Design, 1999
Proceedings of the 1999 Design, 1999
Proceedings of the 36th Conference on Design Automation, 1999
Kernel-Based Power Optimization of RTL Components: Exact and Approximate Extraction Algorithms.
Proceedings of the 36th Conference on Design Automation, 1999
Proceedings of the Seventh International Workshop on Hardware/Software Codesign, 1999
1998
IEEE Trans. Very Large Scale Integr. Syst., 1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
SpC: synthesis of pointers in C: application of pointer analysis to the behavioral synthesis from C.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
Finding all simple disjunctive decompositions using irredundant sum-of-products forms.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998
Proceedings of the Field-Programmable Logic and Applications, 1998
Hardware-Softw are Run-Time Systems and Robotics: A Case Study Vincent John Mooney III.
Proceedings of the 24th EUROMICRO '98 Conference, 1998
Proceedings of the 1998 Design, 1998
Proceedings of the 1998 Design, 1998
Proceedings of the 35th Conference on Design Automation, 1998
Proceedings of the 35th Conference on Design Automation, 1998
Dynamic power management - design techniques and CAD tools.
Kluwer, ISBN: 978-0-7923-8086-3, 1998
1997
IEEE Trans. Very Large Scale Integr. Syst., 1997
ACM Trans. Design Autom. Electr. Syst., 1997
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Real time analysis and priority scheduler generation for hardware-software systems with a synthesized run-time system.
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997
Proceedings of the European Design and Test Conference, 1997
Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks.
Proceedings of the European Design and Test Conference, 1997
1996
Scheduling and control generation with environmental constraints based on automata representations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996
Analysis and synthesis of concurrent digital circuits using control-flow expressions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996
Des. Autom. Embed. Syst., 1996
Proceedings of the 9th International Symposium on System Synthesis, 1996
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996
Proceedings of the conference on European design automation, 1996
Proceedings of the 1996 European Design and Test Conference, 1996
1995
IEEE J. Solid State Circuits, March, 1995
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995
1994
IEEE Des. Test Comput., 1994
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994
Dynamic scheduling and synchronization synthesis of concurrent digital systems under system-level constraints.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994
1993
IEEE Trans. Very Large Scale Integr. Syst., 1993
Designing high-performance digital circuits using wave pipelining: algorithms and practical experiences.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
Algorithms for technology mapping based on binary decision diagrams and on Boolean operations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993
Proceedings of the 30th Design Automation Conference. Dallas, 1993
1992
Relative scheduling under timing constraints: algorithms for high-level synthesis of digital circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
Integr., 1992
Synthesis and Simulation of Digital Systems Containing Interacting Hardware and Software Components.
Proceedings of the 29th Design Automation Conference, 1992
Proceedings of the 29th Design Automation Conference, 1992
1991
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991
Proceedings of the conference on European design automation, 1991
Proceedings of the 28th Design Automation Conference, 1991
Proceedings of the 28th Design Automation Conference, 1991
1990
Guest Editorial: High-Level Synthesis of Digital Circuits.
IEEE Des. Test Comput., 1990
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990
Proceedings of the European Design Automation Conference, 1990
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990
1989
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989
1988
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988
1987
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1987
1986
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986
Symbolic Design of Combinational and Sequential Logic Circuits Implemented by Two-Level Logic Macros.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986
1985
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1985
1984
Correction to "Multiple Constrained Folding of Programmable Logic Arrays: Theory and Applications".
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1984
1983
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1983
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1983
PLEASURE: a computer program for simple/multiple constrained/unconstrained folding of Programmable Logic Arrays.
Proceedings of the 20th Design Automation Conference, 1983