Gerd Ascheid
Orcid: 0000-0003-4068-3558Affiliations:
- RWTH Aachen University, Germany
According to our database1,
Gerd Ascheid
authored at least 375 papers
between 1982 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
A Unified Approach to Autonomous Driving in a High-Fidelity Simulator Using Vision-Based Reinforcement Learning.
Proceedings of the IEEE/SICE International Symposium on System Integration, 2024
An Examination of Offline-Trained Encoders in Vision-Based Deep Reinforcement Learning for Autonomous Driving.
Proceedings of the 20th IEEE International Conference on Automation Science and Engineering, 2024
2023
Video Representation Learning for Decoupled Deep Reinforcement Learning Applied to Autonomous Driving.
Proceedings of the IEEE/SICE International Symposium on System Integration, 2023
2022
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2022, Oslo, 2022
2021
IEEE Wirel. Commun. Lett., 2021
ConvAix: An Application-Specific Instruction-Set Processor for the Efficient Acceleration of CNNs.
IEEE Open J. Circuits Syst., 2021
Development and validation of a reinforcement learning algorithm to dynamically optimize mechanical ventilation in critical care.
npj Digit. Medicine, 2021
An Adaptive Multi-Agent Physical Layer Security Framework for Cognitive Cyber-Physical Systems.
CoRR, 2021
Subcarrier Grouping with Linear Time-Domain Compensation for Low-Complexity Millimeter Wave OFDM Multiuser Systems.
Proceedings of the 94th IEEE Vehicular Technology Conference, 2021
Ensembles of Long Short-Term Memory Experts for Streaming Data with Sudden Concept Drift.
Proceedings of the 20th IEEE International Conference on Machine Learning and Applications, 2021
Proceedings of the GECCO '21: Genetic and Evolutionary Computation Conference, 2021
Runnable Configuration in Mixed Classic/Adaptive AUTOSAR Systems by Leveraging Nondeterminism.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021
2020
IEEE Wirel. Commun., 2020
Neural Comput. Appl., 2020
A Garden of Cyber Physical Systems: Requirements, Challenges, and Implementation Aspects.
IEEE Internet Things Mag., 2020
Reducing idle time in event-triggered software execution via runnable migration and DPM-Aware scheduling.
Integr., 2020
EURASIP J. Adv. Signal Process., 2020
Dataflow Aware Mapping of Convolutional Neural Networks Onto Many-Core Platforms With Network-on-Chip Interconnect.
CoRR, 2020
SBL-Based Hybrid Precoder/ Combiner Design for Power and Spectrally Efficient Millimeter Wave MIMO Systems.
Proceedings of the International Conference on Signal Processing and Communications, 2020
Modelling Machine Learning Components for Mapping and Scheduling of AUTOSAR Runnables.
Proceedings of the 31st IEEE International Symposium on Software Reliability Engineering, 2020
Evolving Instinctive Behaviour in Resource-Constrained Autonomous Agents Using Grammatical Evolution.
Proceedings of the Applications of Evolutionary Computation - 23rd European Conference, 2020
Proceedings of the Architecture of Computing Systems - ARCS 2020, 2020
2019
IEEE Trans. Veh. Technol., 2019
Quasi-Static and Time-Selective Channel Estimation for Block-Sparse Millimeter Wave Hybrid MIMO Systems: Sparse Bayesian Learning (SBL) Based Approaches.
IEEE Trans. Signal Process., 2019
Multi-objective optimisation of software application mappings on heterogeneous MPSoCs: TONPET versus R2-EMOA.
Integr., 2019
IEEE Embed. Syst. Lett., 2019
Multiple particle filtering for tracking wireless agents via Monte Carlo likelihood approximation.
EURASIP J. Adv. Signal Process., 2019
Proceedings of the 2019 International Conference on Wireless and Mobile Computing, 2019
A Critical Evaluation of the Paradigm Shift in the Design of Logic Encryption Algorithms.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2019
Proceedings of the 27th Signal Processing and Communications Applications Conference, 2019
Proceedings of the Rapid Simulation and Performance Evaluation: Methods and Tools, 2019
Proceedings of the 30th IEEE Annual International Symposium on Personal, 2019
Proceedings of the 8th Mediterranean Conference on Embedded Computing, 2019
An Application-Specific VLIW Processor with Vector Instruction Set for CNN Acceleration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Proceedings of the 18th IEEE International Conference On Machine Learning And Applications, 2019
Proceedings of the International Conference on Computing, Networking and Communications, 2019
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019
On the Use of Evolutionary Computation for In-Silico Medicine: Modelling Sepsis via Evolving Continuous Petri Nets.
Proceedings of the Applications of Evolutionary Computation, 2019
Proceedings of the 24th IEEE European Test Symposium, 2019
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019
Self-Supervised Quantization of Pre-Trained Neural Networks for Multiplierless Acceleration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Guaranteed Compression Rate for Activations in CNNs using a Frequency Pruning Approach.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
A heuristic for multi objective software application mappings on heterogeneous MPSoCs.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
Efficient sporadic task handling in parallel AUTOSAR applications using runnable migration.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
2018
IEEE Wirel. Commun. Lett., 2018
A Joint Optimization Scheme for Artificial Noise and Transmit Filter for Half and Full Duplex Wireless Cyber Physical Systems.
IEEE Trans. Sustain. Comput., 2018
Backtracking-based dynamic programming for resolving transmit ambiguities in WSN localization.
EURASIP J. Adv. Signal Process., 2018
Localization of ambiguously identifiable wireless agents: complexity analysis and efficient algorithms.
EURASIP J. Adv. Signal Process., 2018
Particle Filter Based Tracking of Highly Agile Wireless Agents via Random Input Sampling.
Proceedings of the 6th IEEE International Conference on Wireless for Space and Extreme Environments, 2018
Fully Virtual Rapid ADAS Prototyping via a Joined Multi-domain Co-simulation Ecosystem.
Proceedings of the 4th International Conference on Vehicle Technology and Intelligent Transport Systems, 2018
Proceedings of the Smart Cities, Green Technologies and Intelligent Transport Systems, 2018
Proceedings of the 26th Signal Processing and Communications Applications Conference, 2018
Proceedings of the 26th Signal Processing and Communications Applications Conference, 2018
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018
Efficient On-Line Error Detection and Mitigation for Deep Neural Network Accelerators.
Proceedings of the Computer Safety, Reliability, and Security, 2018
Proceedings of the RAPIDO 2018 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2018
Proceedings of the 9th IEEE Latin American Symposium on Circuits & Systems, 2018
Multi-Scale Code Generation for Simulation-Driven Rapid ADAS Prototyping: the SMELT Approach.
Proceedings of the 2018 IEEE International Conference on Vehicular Electronics and Safety, 2018
Proceedings of the 2018 International Conference on Computing, 2018
Proceedings of the 2018 International Conference on Computing, 2018
Efficient hardware acceleration of CNNs using logarithmic data representation with arbitrary log-base.
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 2018 Global Information Infrastructure and Networking Symposium, 2018
Evolving hardware instinctive behaviors in resource-scarce agent swarms exploring hard-to-reach environments.
Proceedings of the Genetic and Evolutionary Computation Conference Companion, 2018
Accurate neuron resilience prediction for a flexible reliability management in neural network accelerators.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
OHEX: OS-aware hybridization techniques for accelerating MPSoC full-system simulation.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
AMVP - a high performance virtual platform using parallel systemC for multicore ARM architectures: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2018
Proceedings of the 15th ACM International Conference on Computing Frontiers, 2018
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018
2017
IEEE Wirel. Commun. Lett., 2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
Int. J. Parallel Program., 2017
Proceedings of the 86th IEEE Vehicular Technology Conference, 2017
Sparse Bayesian learning-based channel estimation in millimeter wave hybrid MIMO systems.
Proceedings of the 18th IEEE International Workshop on Signal Processing Advances in Wireless Communications, 2017
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017
Proceedings of the 9th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2017
Low complexity equalization algorithms for frequency selective millimeter wave channel.
Proceedings of the 28th IEEE Annual International Symposium on Personal, 2017
Multi-level vehicle dynamics modeling and export for ADAS prototyping in a 3D driving environment.
Proceedings of the 20th IEEE International Conference on Intelligent Transportation Systems, 2017
Wireless information and power transfer in MU-massive-MIMO with distributed antennas.
Proceedings of the 2017 International Symposium on Wireless Communication Systems, 2017
Proceedings of the 2017 IEEE International Symposium on Information Theory, 2017
VLSI implementation of LS-SVM training and classification using entropy based subset-selection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the IEEE International Conference on Communications, 2017
Proceedings of the IEEE International Conference on Communications, 2017
Proceedings of the 2017 IEEE International Conference on Communications Workshops, 2017
Using PEGs for Automatic Extraction of Memory Access Descriptions to Support Data-Parallel Pattern Recognition.
Proceedings of the 8th Workshop and 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and Design Tools and Architectures for Multicore Embedded Computing Platforms, 2017
How Effective is the Artificial Noise? Real-Time Analysis of a PHY Security Scenario.
Proceedings of the 2017 IEEE Globecom Workshops, Singapore, December 4-8, 2017, 2017
Energy-efficient environment mapping via evolutionary algorithm optimized multi-agent localization.
Proceedings of the Genetic and Evolutionary Computation Conference, 2017
Instinct-driven dynamic hardware reconfiguration: evolutionary algorithm optimized compression for autonomous sensory agents.
Proceedings of the Genetic and Evolutionary Computation Conference, 2017
Proceedings of the Applications of Evolutionary Computation - 20th European Conference, 2017
Schedule-aware loop parallelization for embedded MPSoCs by exploiting parallel slack.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Efficient hardware acceleration for approximate inference of bitwise deep neural networks.
Proceedings of the 2017 Conference on Design and Architectures for Signal and Image Processing, 2017
Proceedings of the 2017 International Conference on Compilers, 2017
2016
IEEE Trans. Wirel. Commun., 2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
Frequency-Aware ESL Power Estimation for ARM Cortex-A9 Using a Black Box Processor Model.
ACM Trans. Embed. Comput. Syst., 2016
MPSoC Software Debugging on Virtual Platforms via Execution Control with Event Graphs.
ACM Trans. Embed. Comput. Syst., 2016
IEEE Trans. Circuits Syst. I Regul. Pap., 2016
Joint localization and transmit-ambiguity resolution for ultra-low energy wireless sensors.
Proceedings of the 2016 IEEE International Conference on Wireless for Space and Extreme Environments, 2016
Proceedings of the IEEE Wireless Communications and Networking Conference, 2016
Hybrid Beamforming with Time Delay Compensation for Millimeter Wave MIMO Frequency Selective Channels.
Proceedings of the IEEE 83rd Vehicular Technology Conference, 2016
Power Efficiency of Millimeter Wave Transmission Systems with Large Number of Antennas.
Proceedings of the IEEE 84th Vehicular Technology Conference, 2016
Proceedings of the IEEE 83rd Vehicular Technology Conference, 2016
Proceedings of the IEEE 83rd Vehicular Technology Conference, 2016
Power-Saving Transmission in MU-Massive-MIMO with Distributed Antennas and Security Guarantee.
Proceedings of the IEEE 83rd Vehicular Technology Conference, 2016
Proceedings of the 17th IEEE International Workshop on Signal Processing Advances in Wireless Communications, 2016
Proceedings of the 17th IEEE International Workshop on Signal Processing Advances in Wireless Communications, 2016
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016
Automatic recognition of computational kernels for platform-dependent code optimizations.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016
A dynamic programming algorithm for resolving transmit-ambiguities in the localization of WSN.
Proceedings of the 2016 Mediterranean Ad Hoc Networking Workshop, 2016
Proceedings of the International SoC Design Conference, 2016
Proceedings of the 2016 Workshop on Rapid Simulation and Performance Evaluation, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Mantissa-masking for energy-efficient floating-point LTE uplink MIMO baseband processing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Automatic parallelization and accelerator offloading for embedded applications on heterogeneous MPSoCs.
Proceedings of the 53rd Annual Design Automation Conference, 2016
Fully coherent shaped offset QPSK demodulator architecture with superior hardware efficiency.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016
Design and implementation of a low-latency, high-throughput sorted QR decomposition circuit for MIMO communications.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016
2015
J. Signal Process. Syst., 2015
IEEE Trans. Veh. Technol., 2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
A Novel Low-Complexity Numerical Localization Method for Dynamic Wireless Sensor Networks.
IEEE Trans. Signal Process., 2015
Proceedings of the 2015 IEEE Wireless Communications and Networking Conference, 2015
On the sensitivity of SMT systems to oscillator phase noise over doubly-selective channels.
Proceedings of the 2015 IEEE Wireless Communications and Networking Conference, 2015
Proceedings of the IEEE 81st Vehicular Technology Conference, 2015
Secure Probability Map: Transmission Policy Design for Passive Eavesdroppers in Correlated Channels.
Proceedings of the IEEE 82nd Vehicular Technology Conference, 2015
Proceedings of the IEEE 81st Vehicular Technology Conference, 2015
Distributed Antennas Aided Secure Communication in MU-Massive-MIMO with QoS Guarantee.
Proceedings of the IEEE 82nd Vehicular Technology Conference, 2015
Proceedings of the IEEE 81st Vehicular Technology Conference, 2015
Exploiting scalable CGRA mapping of LU for energy efficiency using the Layers architecture.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015
Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, 2015
Application-Specific Architecture Exploration Based on Processor-Agnostic Performance Estimation.
Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, 2015
Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, 2015
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015
Beamforming with time-delay compensation for 60 GHz MIMO frequency-selective channels.
Proceedings of the 26th IEEE Annual International Symposium on Personal, 2015
Proceedings of the 26th IEEE Annual International Symposium on Personal, 2015
Proceedings of the 26th IEEE Annual International Symposium on Personal, 2015
Localization of wireless sensor networks with concurrently used identification sequences.
Proceedings of the 14th Annual Mediterranean Ad Hoc Networking Workshop, 2015
Proceedings of the Iran Workshop on Communication and Information Theory, 2015
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015
Proceedings of the 2015 IEEE International Conference on Digital Signal Processing, 2015
Proceedings of the 2015 IEEE/CIC International Conference on Communications in China, 2015
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015
Virtual hardware-in-the-loop co-simulation for multi-domain automotive systems via the functional mock-up interface.
Proceedings of the 2015 Forum on Specification and Design Languages, 2015
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
2014
IEEE Trans. Veh. Technol., 2014
ACM Trans. Embed. Comput. Syst., 2014
IEEE Trans. Commun., 2014
Alamouti-coded decode-and-forward protocol with optimum relay selection and power allocation for cooperative communications.
EURASIP J. Wirel. Commun. Netw., 2014
Multiuser pilot pattern for uplink multicarrier systems with frequency-dependent I/Q imbalance.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2014
Optimized Channel Estimation for OFDMA Uplink with Frequency-Dependent I/Q Imbalance.
Proceedings of the IEEE 79th Vehicular Technology Conference, 2014
Proceedings of the IEEE 79th Vehicular Technology Conference, 2014
Proceedings of the IEEE 80th Vehicular Technology Conference, 2014
Beamforming Aided Interference Management with Improved Secrecy for Correlated Channels.
Proceedings of the IEEE 79th Vehicular Technology Conference, 2014
Proceedings of the VLSI-SoC: Internet of Things Foundations, 2014
VLSI design of a parallel MCMC-based MIMO detector with multiplier-free Gibbs samplers.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014
Improving ESL power models using switching activity information from timed functional models.
Proceedings of the 17th International Workshop on Software and Compilers for Embedded Systems, 2014
Pre-architectural performance estimation for ASIP design based on abstract processor models.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014
Widely linear receivers for SMT systems with TX/RX frequency-selective I/Q imbalance.
Proceedings of the 25th IEEE Annual International Symposium on Personal, 2014
A Novel Class of Linear MIMO Detectors with Boosted Communications Performance: Algorithm and VLSI Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014
Energy-efficiency of floating-point and fixed-point SIMD cores for MIMO processing systems.
Proceedings of the 2014 International Symposium on System-on-Chip, 2014
A performance impact of an edge kernel for the high-frequency image prediction reconstruction.
Proceedings of the 14th International Symposium on Communications and Information Technologies, 2014
Efficient VLSI architectures for matrix inversion in soft-input soft-output MMSE MIMO detectors.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Proceedings of the IEEE International Conference on Communications, 2014
A novel reduced-complexity soft-input soft-output MMSE MIMO detector: Algorithm and efficient VLSI architecture.
Proceedings of the IEEE International Conference on Communications, 2014
VLSI implementation of linear MIMO detection with boosted communications performance: extended abstract.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
A flexible ASIP architecture for connected components labeling in embedded vision applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
2013
J. Signal Process. Syst., 2013
J. Signal Process. Syst., 2013
Power Allocation and Performance Analysis in Spectrum Sharing Systems with Statistical CSI.
IEEE Trans. Wirel. Commun., 2013
On the Duality of the Max-Min Beamforming Problem With Per-Antenna and Per-Antenna-Array Power Constraints.
IEEE Trans. Veh. Technol., 2013
IEEE Trans. Veh. Technol., 2013
IEEE Trans. Veh. Technol., 2013
IEEE Trans. Signal Process., 2013
IEEE Trans. Ind. Informatics, 2013
IEEE Trans. Commun., 2013
Int. J. Embed. Real Time Commun. Syst., 2013
On the Performance of Quasiorthogonal STBC with Relay Selection and Phase Rotation Techniques for Decode and Forward Cooperative Communications.
Int. J. Distributed Sens. Networks, 2013
Extending the engineering trade-off analysis by integrating user preferences in conjoint analysis.
Expert Syst. Appl., 2013
IEEE Des. Test, 2013
A Non-Alternating Algorithm for Joint BS-RS Precoding Design in Two-Way Relay Systems.
CoRR, 2013
Proceedings of the 2013 IEEE Wireless Communications and Networking Conference (WCNC), 2013
A blind-ML Method for frequency-selective I/Q mismatch compensation in low-IF receivers.
Proceedings of the 2013 IEEE Wireless Communications and Networking Conference (WCNC), 2013
Performance analysis of multi-cell MMSE based receivers in MU-MIMO systems with very large antenna arrays.
Proceedings of the 2013 IEEE Wireless Communications and Networking Conference (WCNC), 2013
Proceedings of the 77th IEEE Vehicular Technology Conference, 2013
A Flexible ASIC for Time-Domain Decision-Directed Channel Estimation in MIMO-OFDM Systems.
Proceedings of the VLSI-SoC: At the Crossroads of Emerging Trends, 2013
Proceedings of the 24th IEEE Annual International Symposium on Personal, 2013
Proceedings of the 24th IEEE Annual International Symposium on Personal, 2013
Proceedings of the 24th IEEE Annual International Symposium on Personal, 2013
Proceedings of the ISWCS 2013, 2013
Proceedings of the 2013 International Symposium on System on Chip, 2013
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013
Proceedings of the IEEE Global Conference on Signal and Information Processing, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Creation of ESL power models for communication architectures using automatic calibration.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
A Reconfigurable Application-specific Instruction-set Processor for Fast Fourier Transform processing.
Proceedings of the 24th International Conference on Application-Specific Systems, 2013
Capacity analysis of uplink multi-user SC-FDMA system with frequency-dependent I/Q imbalance.
Proceedings of the 51st Annual Allerton Conference on Communication, 2013
2012
Channel-Adaptive MIMO Detection Based on the Multiple-Choice Knapsack Problem (MCKP).
IEEE Wirel. Commun. Lett., 2012
Implementations of Sorted-QR Decomposition for MIMO Receivers: Complexity, Reusability and Efficiency Analysis.
J. Signal Process. Syst., 2012
IEEE Trans. Veh. Technol., 2012
IEEE Trans. Commun., 2012
Ergodic capacity for cognitive radio with partial channel state information of the primary user.
Proceedings of the 2012 IEEE Wireless Communications and Networking Conference, 2012
Proceedings of the 76th IEEE Vehicular Technology Conference, 2012
Proceedings of the 75th IEEE Vehicular Technology Conference, 2012
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012
Long-term window scheduling in multiuser OFDM systems based on large scale fading maps.
Proceedings of the 13th IEEE International Workshop on Signal Processing Advances in Wireless Communications, 2012
On the Pareto optimum of long-term max-min beamforming with general power constraints.
Proceedings of the 35th IEEE Sarnoff Symposium 2012, Newark, NJ, USA, May 21-22, 2012, 2012
Throughput driven transformations of Synchronous Data Flows for mapping to heterogeneous MPSoCs.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012
An FPGA-accelerated testbed for hardware component development in MIMO wireless communication systems.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012
Proceedings of the 23rd IEEE International Symposium on Personal, 2012
FIFO Exploration in Mapping Streaming Applications onto the TI OMAP3530 Platform: Case Study and Optimizations.
Proceedings of the IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, 2012
A simple complexity adjustment technique for soft MIMO receivers in broadcasting scenarios.
Proceedings of the 2012 International Symposium on Wireless Communication Systems (ISWCS), 2012
Proceedings of the International Symposium on Signals, Systems, and Electronics, 2012
Proceedings of the International Symposium on Signals, Systems, and Electronics, 2012
Proceedings of the 2012 International Symposium on System on Chip, 2012
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012
Proceedings of IEEE International Conference on Communications, 2012
Cause and effect of nondeterministic behavior in sequential and parallel SystemC simulators.
Proceedings of the 2012 IEEE International High Level Design Validation and Test Workshop, 2012
Parallel paradigms and run-time management techniques for many-core architectures: the 2PARMA approach.
Proceedings of the 2012 Interconnection Network Architecture, 2012
Searching for optimal scheduling of MIMO doubly iterative receivers: An ant colony optimization-based method.
Proceedings of the 2012 IEEE Global Communications Conference, 2012
Proceedings of the 2012 IEEE Global Communications Conference, 2012
Outage-constrained power control in spectrum sharing systems with partial primary CSI.
Proceedings of the 2012 IEEE Global Communications Conference, 2012
Proceedings of the Models, Methods, and Tools for Complex Chip Design, 2012
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012
A 2.78 mm<sup>2</sup> 65 nm CMOS gigabit MIMO iterative detection and decoding receiver.
Proceedings of the 38th European Solid-State Circuit conference, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Flexible front-end processing for software defined radio applications using application specific instruction-set processors.
Proceedings of the 2012 Conference on Design and Architectures for Signal and Image Processing, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
IEEE Trans. Wirel. Commun., 2011
Optimized Communication Architecture of MPSoCs with a Hardware Scheduler: A System-Level Analysis.
Int. J. Embed. Real Time Commun. Syst., 2011
IEEE Commun. Lett., 2011
Des. Autom. Embed. Syst., 2011
Proceedings of the 2011 IEEE Wireless Communications and Networking Conference, 2011
Joint optimization of beamforming, user scheduling, and multiple base station assignment in a multicell network.
Proceedings of the 2011 IEEE Wireless Communications and Networking Conference, 2011
Tree Search Space Reduction for Soft-Input Soft-Output Sphere Decoding in MIMO Systems.
Proceedings of the 73rd IEEE Vehicular Technology Conference, 2011
Proceedings of the 74th IEEE Vehicular Technology Conference, 2011
Proceedings of the 74th IEEE Vehicular Technology Conference, 2011
Proceedings of the 14th International Workshop on Software and Compilers for Embedded Systems, 2011
Invited paper: Parallel programming and run-time resource management framework for many-core platforms: The 2PARMA approach.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011
Performance evaluation of downlink beamforming over non-stationary channels with interference.
Proceedings of the IEEE 22nd International Symposium on Personal, 2011
A combined belief propagation and mean field algorithm for soft carrier phase estimation.
Proceedings of the 8th International Symposium on Wireless Communication Systems, 2011
Alamouti-coded decode-and-forward protocol with optimum relay selection for cooperative communications.
Proceedings of the 3rd International Congress on Ultra Modern Telecommunications and Control Systems and Workshops, 2011
Proceedings of IEEE International Conference on Communications, 2011
Proceedings of IEEE International Conference on Communications, 2011
Two Convergence Enhancements for BICM-ID Using the Max-Log-MAP Criterion in MIMO Systems with Non-Gray Mappings.
Proceedings of the Global Communications Conference, 2011
How the Framework of Expectation Propagation Yields an Iterative IC-LMMSE MIMO Receiver.
Proceedings of the Global Communications Conference, 2011
Proceedings of the Workshops Proceedings of the Global Communications Conference, 2011
Proceedings of the 6th International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2011
Low complexity cooperative multicast beamforming in multiuser multicell downlink networks.
Proceedings of the 6th International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2011
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011
2010
A Scalable VLSI Architecture for Soft-Input Soft-Output Single Tree-Search Sphere Decoding.
IEEE Trans. Circuits Syst. II Express Briefs, 2010
Int. J. Parallel Program., 2010
Int. J. Embed. Real Time Commun. Syst., 2010
Complexity-efficient enumeration techniques for soft-input, soft-output sphere decoding.
IEEE Commun. Lett., 2010
Proceedings of the 2010 IEEE Wireless Communications and Networking Conference, 2010
Markov Chain Monte Carlo MIMO Detection for Systems with Imperfect Channel State Information.
Proceedings of the 71st IEEE Vehicular Technology Conference, 2010
On Non-Stationary Urban Macrocell Channels in a Cooperative Downlink Beamforming Scenario.
Proceedings of the 72nd IEEE Vehicular Technology Conference, 2010
Proceedings of the 71st IEEE Vehicular Technology Conference, 2010
Cooperative Beamforming with Multiple Base Station Assignment Based on Correlation Knowledge.
Proceedings of the 72nd IEEE Vehicular Technology Conference, 2010
2PARMA: Parallel Paradigms and Run-Time Management Techniques for Many-Core Architectures.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010
2PARMA: Parallel Paradigms and Run-time Management Techniques for Many-Core Architectures.
Proceedings of the VLSI 2010 Annual Symposium - Selected papers, 2010
Optimized communication architecture of MPSoCs with a hardware scheduler: A system view.
Proceedings of the 2010 International Symposium on System on Chip, SoC 2010, Tampere, 2010
Proceedings of the International Symposium on Information Theory and its Applications, 2010
Proceedings of the International Symposium on Information Theory and its Applications, 2010
The achievable rate of stationary rayleigh flat-fading channels with IID input symbols.
Proceedings of the International Symposium on Information Theory and its Applications, 2010
Performance Evaluation of the Markov Chain Monte Carlo MIMO Detector based on Mutual Information.
Proceedings of IEEE International Conference on Communications, 2010
Proceedings of IEEE International Conference on Communications, 2010
A High Level Performance Estimation: Modeling the Effects of Parameters on Performance Properties for a Tool Assisted SDR Development.
Proceedings of IEEE International Conference on Communications, 2010
Trace-based KPN composability analysis for mapping simultaneous applications to MPSoC platforms.
Proceedings of the Design, Automation and Test in Europe, 2010
MSE-based linear transceiver optimization in MIMO cognitive radio networks with imperfect channel knowledge.
Proceedings of the 2nd International Workshop on Cognitive Information Processing, 2010
Proceedings of the 44th Annual Conference on Information Sciences and Systems, 2010
2009
ACM Trans. Archit. Code Optim., 2009
Microelectron. J., 2009
IACR Cryptol. ePrint Arch., 2009
CoRR, 2009
Complexity-Efficient Enumeration Techniques for Soft-Input, Soft-Output Sphere Decoding
CoRR, 2009
Conversion of the spatio-temporal correlation from uplink to downlink in FDD systems.
Proceedings of the 2009 IEEE Wireless Communications and Networking Conference, 2009
Proceedings of the 69th IEEE Vehicular Technology Conference, 2009
Proceedings of the 69th IEEE Vehicular Technology Conference, 2009
Proceedings of the 69th IEEE Vehicular Technology Conference, 2009
Intercell Interference Mitigation with Long-Term Beamforming and Low SINR Feedback Rate in a Multiuser Multicell Unicast Scenario.
Proceedings of the 69th IEEE Vehicular Technology Conference, 2009
A Workbench for Analytical and Simulation Based Design Space Exploration of Software Defined Radios.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009
A Fast and Flexible Platform for Fault Injection and Evaluation in Verilog-Based Simulations.
Proceedings of the Third IEEE International Conference on Secure Software Integration and Reliability Improvement, 2009
A Generic Design Flow for Application Specific Processor Customization through Instruction-Set Extensions (ISEs).
Proceedings of the Embedded Computer Systems: Architectures, 2009
Efficient implementations from libraries: Analyzing the influence of configuration parameters on key performance properties.
Proceedings of the IEEE 20th International Symposium on Personal, 2009
Combining orthogonalized partial metrics: Efficient enumeration for soft-input sphere decoder.
Proceedings of the IEEE 20th International Symposium on Personal, 2009
Max-min beamforming for multicell downlink systems using long-term channel statistics.
Proceedings of the IEEE 20th International Symposium on Personal, 2009
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Proceedings of IEEE International Conference on Communications, 2009
Proceedings of the Global Communications Conference, 2009. GLOBECOM 2009, Honolulu, Hawaii, USA, 30 November, 2009
Proceedings of the Global Communications Conference, 2009. GLOBECOM 2009, Honolulu, Hawaii, USA, 30 November, 2009
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009
Proceedings of the Cryptographic Hardware and Embedded Systems, 2009
2008
IEEE Trans. Wirel. Commun., 2008
A Design Flow for Architecture Exploration and Implementation of Partially Reconfigurable Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2008
Prefabrication and postfabrication architecture exploration for partially reconfigurable VLIW processors.
ACM Trans. Embed. Comput. Syst., 2008
J. Comput., 2008
Proceedings of the 67th IEEE Vehicular Technology Conference, 2008
Proceedings of the 68th IEEE Vehicular Technology Conference, 2008
Proceedings of the 2008 IEEE International Symposium on Information Theory, 2008
Asymptotic BER Analysis for MIMO-BICM with Zero-Forcing Detectors Assuming Imperfect CSI.
Proceedings of IEEE International Conference on Communications, 2008
Deriving a Joint Interference Detection and Channel Estimation for WB-OFDM from EM-MAP Theory.
Proceedings of IEEE International Conference on Communications, 2008
Proceedings of IEEE International Conference on Communications, 2008
System-Level Design and Application Mapping for Wireless and Multimedia MPSoC Architectures.
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
High-level Modelling and Exploration of Coarse-grained Re-configurable Architectures.
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 45th Design Automation Conference, 2008
Limited CSI feedback based on an adaptive codebook for temporally correlated MISO fading channels.
Proceedings of the 42nd Asilomar Conference on Signals, Systems and Computers, 2008
2007
ACM Trans. Embed. Comput. Syst., 2007
Proceedings of the IEEE Wireless Communications and Networking Conference, 2007
Proceedings of the 65th IEEE Vehicular Technology Conference, 2007
On the Influence of Pilot Symbol and Data Symbol Positioning on Turbo Synchronization.
Proceedings of the 65th IEEE Vehicular Technology Conference, 2007
Pre- and Post-Fabrication Architecture Exploration for Partially Reconfigurable VLIW Processors.
Proceedings of the 18th IEEE International Workshop on Rapid System Prototyping (RSP 2007), 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Joint Reduction of Peak-to-Average Power Ratio and Out-of-Band Power in OFDM Systems.
Proceedings of the Global Communications Conference, 2007
Interactive presentation: SoftSIMD - exploiting subword parallelism using source code transformations.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007
Proceedings of the 2007 International Conference on Compilers, 2007
2006
Modeling Instruction Semantics in ADL Processor Descriptions for C Compiler Retargeting.
J. VLSI Signal Process., 2006
Proceedings of the IEEE 17th International Symposium on Personal, 2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of IEEE International Conference on Communications, 2006
Proceedings of the 2006 IEEE International Conference on Acoustics Speech and Signal Processing, 2006
Proceedings of the Third IEEE International Workshop on Electronic Design, 2006
An interprocedural code optimization technique for network processors using hardware multi-threading support.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
A SW performance estimation framework for early system-level-design using fine-grained instrumentation.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Design and implementation of a modular and portable IEEE 754 compliant floating-point unit.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006
2005
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005
A Modular Simulation Framework for Spatial and Temporal Task Mapping onto Multi-Processor SoC Platforms.
Proceedings of the 2005 Design, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
A framework for automated and optimized ASIP implementation supporting multiple hardware description languages.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Instruction Set Customization of Application Specific Processors for Network Processing: A Case Study.
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005
Digital Signal Processors.
Proceedings of the Handbook of Networked and Embedded Control Systems, 2005
2004
Proceedings of the Computer Systems: Architectures, 2004
Virtual Architecture Mapping: A SystemC Based Methodology for Architectural Exploration of System-on-Chip Designs.
Proceedings of the Computer Systems: Architectures, 2004
Proceedings of IEEE International Conference on Communications, 2004
Performance of initial synchronization schemes for WCDMA systems with spatio-temporal correlations.
Proceedings of IEEE International Conference on Communications, 2004
A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platform.
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
2003
Proceedings of the Software and Compilers for Embedded Systems, 7th International Workshop, 2003
A modular simulation framework for architectural exploration of on-chip interconnection networks.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003
1991
A new method for phase synchronization and automatic gain control of linearly modulated signals on frequency-flat fading channels.
IEEE Trans. Commun., 1991
1990
1989
An all digital receiver architecture for bandwidth efficient transmission at high data rates.
IEEE Trans. Commun., 1989
Adaptive synchronization and channel parameter estimation using an extended Kalman filter.
IEEE Trans. Commun., 1989
1982