Gang Qu
Orcid: 0000-0001-6759-8949Affiliations:
- University of Maryland, Department of Electrical and Computer Engineering, Institute for Systems Research, College Park, MD, USA
- University of California at Los Angeles, CA, USA (PhD 2000)
According to our database1,
Gang Qu
authored at least 298 papers
between 1998 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Fooling Decision-Based Black-Box Automotive Vision Perception Systems in Physical World.
IEEE Trans. Intell. Transp. Syst., July, 2024
Efficient Approximate Floating-Point Multiplier With Runtime Reconfigurable Frequency and Precision.
IEEE Trans. Circuits Syst. II Express Briefs, July, 2024
An RRAM-Based Computing-in-Memory Architecture and Its Application in Accelerating Transformer Inference.
IEEE Trans. Very Large Scale Integr. Syst., March, 2024
IEEE Trans. Mob. Comput., March, 2024
Lightning: Leveraging DVFS-induced Transient Fault Injection to Attack Deep Learning Accelerator of GPUs.
ACM Trans. Design Autom. Electr. Syst., January, 2024
Write+Sync: Software Cache Write Covert Channels Exploiting Memory-Disk Synchronization.
IEEE Trans. Inf. Forensics Secur., 2024
IEEE Trans. Emerg. Top. Comput., 2024
IEEE Des. Test, 2024
APACHE: A Processing-Near-Memory Architecture for Multi-Scheme Fully Homomorphic Encryption.
CoRR, 2024
Proceedings of the IEEE International Test Conference in Asia, 2024
LLP-ECCA: A Low-Latency and Programmable Framework for Elliptic Curve Cryptography Accelerators.
Proceedings of the IEEE International Test Conference in Asia, 2024
Proceedings of the IEEE International Test Conference in Asia, 2024
Uncovering and Exploiting AMD Speculative Memory Access Predictors for Fun and Profit.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024
A Cryptographic Hardware Engineering Course based on FPGA and Security Analysis Equipment.
Proceedings of the Great Lakes Symposium on VLSI 2024, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
A Combined Content Addressable Memory and In-Memory Processing Approach for k-Clique Counting Acceleration.
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
2023
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023
IMGA: Efficient In-Memory Graph Convolution Network Aggregation With Data Flow Optimizations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023
ADLPT: Improving 3D NAND Flash Memory Reliability by Adaptive Lifetime Prediction Techniques.
IEEE Trans. Computers, June, 2023
A Hybrid Trust Model against Insider Packet Drop Attacks in Wireless Sensor Networks.
Sensors, 2023
SYNC+SYNC: Software Cache Write Covert Channels Exploiting Memory-disk Synchronization.
CoRR, 2023
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
ATC: Approximate Temporal Coding for Efficient Implementations of Spiking Neural Networks.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023
MES-Attacks: Software-Controlled Covert Channels based on Mutual Exclusion and Synchronization.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
PMU-Leaker: Performance Monitor Unit-Based Realization of Cache Side-Channel Attacks.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023
2022
RMLIM: A Runtime Machine Learning Based Identification Model for Approximate Computing on Data Flow Graphs.
IEEE Trans. Sustain. Comput., 2022
Double-Shift: A Low-Power DNN Weights Storage and Access Framework based on Approximate Decomposition and Quantization.
ACM Trans. Design Autom. Electr. Syst., 2022
An Approximate Memory Based Defense Against Model Inversion Attacks to Neural Networks.
IEEE Trans. Emerg. Top. Comput., 2022
IEEE Trans. Emerg. Top. Comput., 2022
Accelerating Graph-Connected Component Computation With Emerging Processing-In-Memory Architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Computers, 2022
IEEE Trans. Computers, 2022
AutoTEA: An Automated Transistor-level Efficient and Accurate design tool for FPGA design.
Integr., 2022
CoRR, 2022
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Fooling the Eyes of Autonomous Vehicles: Robust Physical Adversarial Examples Against Traffic Sign Recognition Systems.
Proceedings of the 29th Annual Network and Distributed System Security Symposium, 2022
Proceedings of the 65th IEEE International Midwest Symposium on Circuits and Systems, 2022
An Effective Test Method for Block RAMs in Heterogeneous FPGAs Based on a Novel Partial Bitstream Relocation Technique.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
CacheGuard: A Behavior Model Checker for Cache Timing Side-Channel Security: (Invited Paper).
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
DVFSspy: Using Dynamic Voltage and Frequency Scaling as a Covert Channel for Multiple Procedures.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2022
Proceedings of the Approximate Computing, 2022
Proceedings of the Approximate Computing, 2022
2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
IEEE Internet Things J., 2021
AoI-Minimal Trajectory Planning and Data Collection in UAV-Assisted Wireless Powered IoT Networks.
IEEE Internet Things J., 2021
Age-Aware Utility Maximization in Relay-Assisted Wireless Powered Communication Networks.
Entropy, 2021
Lightning: Striking the Secure Isolation on GPU Clouds with Transient Hardware Faults.
CoRR, 2021
Proceedings of the 28th Annual Network and Distributed System Security Symposium, 2021
Proceedings of the Fourth Conference on Machine Learning and Systems, 2021
Proceedings of the IEEE International Test Conference in Asia, 2021
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021
AutoTEA: Automated Transistor-level Efficient and Accurate Optimization for GRM FPGA Design.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
RIME: A Scalable and Energy-Efficient Processing-In-Memory Architecture for Floating-Point Operations.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2021
2020
Physical Unclonable Function-Based Key Sharing via Machine Learning for IoT Security.
IEEE Trans. Ind. Electron., 2020
Estimate and Recompute: A Novel Paradigm for Approximate Computing on Data Flow Graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
A Guaranteed Secure Scan Design Based on Test Data Obfuscation by Cryptographic Hash.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
GetMobile Mob. Comput. Commun., 2020
Security in Approximate Computing and Approximate Computing for Security: Challenges and Opportunities.
Proc. IEEE, 2020
Hardware Security in Spin-based Computing-in-memory: Analysis, Exploits, and Mitigation Techniques.
ACM J. Emerg. Technol. Comput. Syst., 2020
Proceedings of the 38th IEEE VLSI Test Symposium, 2020
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020
Proceedings of the 39th IEEE Conference on Computer Communications, 2020
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
Proceedings of the Decision and Game Theory for Security - 11th International Conference, 2020
A Machine Learning based Approximate Computing Approach on Data Flow Graphs: Work-in-Progress.
Proceedings of the 20th International Conference on Embedded Software, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Mitigating Adversarial Attacks for Deep Neural Networks by Input Deformation and Augmentation.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2020
BWOLF: Bit-Width Optimization for Statistical Divergence with -Logarithmic Functions.
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020
2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
ACM Trans. Reconfigurable Technol. Syst., 2019
IEEE Trans. Intell. Transp. Syst., 2019
Toward a Formal and Quantitative Evaluation Framework for Circuit Obfuscation Methods.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
IEEE Internet Things J., 2019
Parallelizing SAT-based de-camouflaging attacks by circuit partitioning and conflict avoiding.
Integr., 2019
Pass and Run: A Privacy Preserving Delay Tolerant Network Communication Protocol for CyberVehicles.
IEEE Des. Test, 2019
Secure Routing Protocol based on Multi-objective Ant-colony-optimization for wireless sensor networks.
Appl. Soft Comput., 2019
Proceedings of the 37th IEEE VLSI Test Symposium, 2019
Proceedings of the 2019 IEEE Non-Volatile Memory Systems and Applications Symposium, 2019
LEAP: A Lightweight Encryption and Authentication Protocol for In-Vehicle Communications.
Proceedings of the 2019 IEEE Intelligent Transportation Systems Conference, 2019
Energy and Error Reduction using Variable Bit-width Optimization on Dynamic Fixed Point Format.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019
VoltJockey: Breaching TrustZone by Software-Controlled Voltage Manipulation over Multi-core Frequencies.
Proceedings of the 2019 ACM SIGSAC Conference on Computer and Communications Security, 2019
Research on the impact of different benchmark circuits on the representative path in FPGAs.
Proceedings of the 13th IEEE International Conference on ASIC, 2019
Proceedings of the 13th IEEE International Conference on ASIC, 2019
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019
2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
J. Comput. Sci. Technol., 2018
Proceedings of the 17th IEEE International Conference On Trust, 2018
Proceedings of the 17th IEEE International Conference On Trust, 2018
An Entropy Analysis Based Intrusion Detection System for Controller Area Network in Vehicles.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018
Proceedings of the IEEE International Test Conference in Asia, 2018
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 27th IEEE Asian Test Symposium, 2018
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2018
Proceedings of the Cyber-Physical Systems Security., 2018
2017
Group Cooperation With Optimal Resource Allocation in Wireless Powered Communication Networks.
IEEE Trans. Wirel. Commun., 2017
IEEE Trans. Multi Scale Comput. Syst., 2017
Computer, 2017
Proceedings of the 26th Wireless and Optical Communication Conference, 2017
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
A Scalable and Resilient Microarchitecture Based on Multiport Binding for High-Radix Router Design.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017
Proceedings of the 26th IEEE Asian Test Symposium, 2017
Proceedings of the 26th IEEE Asian Test Symposium, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
Proceedings of the 2017 Asian Hardware Oriented Security and Trust Symposium, 2017
2016
Rebuttal to "Comments on 'A PUF-FSM Binding Scheme for FPGA IP Protection and Pay-Per-Device Licensing"'.
IEEE Trans. Inf. Forensics Secur., 2016
Secur. Commun. Networks, 2016
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Security through obscurity: Integrated circuit obfuscation using don't care conditions.
Proceedings of the 2016 International Conference on Control, 2016
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016
Secret Sharing and Multi-user Authentication: From Visual Cryptography to RRAM Circuits.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016
Group Cooperation and Resource Allocation in Wireless Powered Communication Networks.
Proceedings of the 2016 IEEE Globecom Workshops, Washington, DC, USA, December 4-8, 2016, 2016
Proceedings of the Decision and Game Theory for Security - 7th International Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the Digital Fingerprinting, 2016
2015
ACM Trans. Design Autom. Electr. Syst., 2015
IEEE Trans. Inf. Forensics Secur., 2015
IEEE Trans. Inf. Forensics Secur., 2015
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
A scan design method based on two complementary connection styles to minimize test power.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2015
A practical circuit fingerprinting method utilizing observability don't care conditions.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 14th International Conference on Computer-Aided Design and Computer Graphics, 2015
Proceedings of the 14th International Conference on Computer-Aided Design and Computer Graphics, 2015
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
2014
Obtaining Statistically Random Information From Silicon Physical Unclonable Functions.
IEEE Trans. Emerg. Top. Comput., 2014
ACM Trans. Embed. Comput. Syst., 2014
J. Comput. Sci. Technol., 2014
J. Comput. Sci. Technol., 2014
Proceedings of the 2014 IEEE Military Communications Conference, 2014
Proceedings of the 2014 IEEE Military Communications Conference, 2014
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
2013
Detection and Prevention of Selective Forwarding-Based Denial-of-Service Attacks in WSNs.
Int. J. Distributed Sens. Networks, 2013
Pass and run: A privacy preserving delay tolerant network communication protocol for CyberVehicles.
Proceedings of the International Conference on Connected Vehicles and Expo, 2013
FADER: False alarm detection and recovery for trust-aware routing in wireless sensor networks.
Proceedings of the International Conference on Connected Vehicles and Expo, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 2013 International Conference on Computer-Aided Design and Computer Graphics, 2013
Incorporating temperature-leakage interdependency into dynamic voltage scaling for real-time systems.
Proceedings of the 24th International Conference on Application-Specific Systems, 2013
2012
Insider Threats against Trust Mechanism with Watchdog and Defending Approaches in Wireless Sensor Networks.
Proceedings of the 2012 IEEE Symposium on Security and Privacy Workshops, 2012
Proceedings of the IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, 2012
2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Improving dual Vt technology by simultaneous gate sizing and mechanical stress optimization.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the 22nd IEEE International Conference on Application-specific Systems, 2011
2010
Proceedings of the 28th International Conference on Computer Design, 2010
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
Peak Temperature Reduction by Physical Information Driven Behavioral Synthesis with Resource Usage Allocation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009
Proceedings of the 46th Design Automation Conference, 2009
Fingerprint - Iris Fusion Based Identification System Using a Single Hamming Distance Matcher.
Proceedings of the 2009 Symposium on Bio-inspired Learning and Intelligent Systems for Security, 2009
Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems, 2009
2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
Proceedings of the 2008 International Conference on Advanced Infocomm Technology, 2008
Minimizing point-to-point transmission energy with error correction coding and transmission power control.
Proceedings of the 2008 International Conference on Advanced Infocomm Technology, 2008
A Hardware-Assisted Data Hiding Based Approach in Building High-Performance Trusted Computing Systems.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008
An area-efficient high-throughput hybrid interconnection network for single-chip parallel processing.
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems, 2008
2007
ACM Trans. Embed. Comput. Syst., 2007
Low Power System Design by Combining Software Prefetching and Dynamic voltage Scaling.
J. Circuits Syst. Comput., 2007
AffyProbeMiner: a web resource for computing or retrieving accurately redefined Affymetrix probe sets.
Bioinform., 2007
Proceedings of the 2007 International Conference on Parallel Processing Workshops (ICPP Workshops 2007), 2007
Simultaneous input vector selection and dual threshold voltage assignment for static leakage minimization.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Layout-Accurate Design and Implementation of a High-Throughput Interconnection Network for Single-Chip Parallel Processing.
Proceedings of the 15th Annual IEEE Symposium on High-Performance Interconnects, 2007
SecureGo: A Hardware-Software Co-Protection against Identity Theft in Online Transaction.
Proceedings of the 2007 ECSIS Symposium on Bio-inspired, 2007
ALT-DVS: Dynamic Voltage Scaling with Awareness of Leakage and Temperature for Real-Time Systems.
Proceedings of the Second NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2007), 2007
Proceedings of the Second NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2007), 2007
2006
A combined gate replacement and input vector control approach for leakage current reduction.
IEEE Trans. Very Large Scale Integr. Syst., 2006
Energy-efficient embedded software implementation on multiprocessor system-on-chip with multiple voltages.
ACM Trans. Embed. Comput. Syst., 2006
Proceedings of the Fifth International Conference on Information Processing in Sensor Networks, 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006
Proceedings of the First NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2006), 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
Proceedings of the 9th International Workshop on Software and Compilers for Embedded Systems, Dallas, Texas, USA, September 29, 2005
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Power minimization techniques on distributed real-time systems by global and local slack management.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
CASPER: An Integrated Energy-Driven Approach for Task Graph Scheduling on Distributed Embedded Systems.
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005
2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Book review: Intellectual property protection in VLSI designs: Theory and practice, Hardcover, pp 183, plus XIX, Kluwer Academic Publishers, Boston, 2003, ISBN 1-4020-7320-8.
Microelectron. Reliab., 2004
How many solutions does a SAT instance have?
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
QoS-driven scheduling for multimedia applications.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
Arbitrate-and-move primitives for high throughput on-chip interconnection networks.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
Transferring performance gain from software prefetching to energy reduction.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
Proceedings of the Information Hiding, 6th International Workshop, 2004
Proceedings of the Information Hiding, 6th International Workshop, 2004
Energy-efficient dual-voltage soft real-time system with (m, k)-firm deadline guarantee.
Proceedings of the 2004 International Conference on Compilers, 2004
Proceedings of the Nineteenth National Conference on Artificial Intelligence, 2004
Proceedings of the Nineteenth National Conference on Artificial Intelligence, 2004
Proceedings of the Handbook of Sensor Networks, 2004
2003
ACM Trans. Embed. Comput. Syst., 2003
Proceedings of the 1st International Conference on Embedded Networked Sensor Systems, 2003
Proceedings of the 14th IEEE International Workshop on Rapid System Prototyping (RSP 2003), 2003
Introducing The Concept Of Design Reuse Into Undergraduate Digital Design Curriculum.
Proceedings of the 2003 International Conference on Microelectronics Systems Education, 2003
Proceedings of the 2003 International Symposium on Information Technology (ITCC 2003), 2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003
On-line Voltage Scheduling for Multimedia Applications.
Proceedings of the First Workshop on Embedded Systems for Real-Time Multimedia, 2003
Proceedings of the Embedded Software, Third International Conference, 2003
Energy reduction techniques for multimedia applications with tolerance to deadline misses.
Proceedings of the 40th Design Automation Conference, 2003
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003
2002
Wirel. Networks, 2002
IEEE Trans. Very Large Scale Integr. Syst., 2002
Publicly detectable watermarking for intellectual property authentication in VLSI design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
J. Circuits Syst. Comput., 2002
Proceedings of the 13th IEEE International Conference on Application-Specific Systems, 2002
2001
Proceedings of the Information Hiding, 4th International Workshop, 2001
Proceedings of the Information Hiding, 4th International Workshop, 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the 38th Design Automation Conference, 2001
2000
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000
Proceedings of the 37th Conference on Design Automation, 2000
Proceedings of the 37th Conference on Design Automation, 2000
1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
Proceedings of the 12th International Symposium on System Synthesis, 1999
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999
Proceedings of the Information Hiding, Third International Workshop, 1999
Power minimization using system-level partitioning of applications with quality of service requirements.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999
1998
Synthesis Techniques for Low-Power Hard Real-Time Systems on Variable Voltage Processors.
Proceedings of the 19th IEEE Real-Time Systems Symposium, 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998