Francisco J. Cazorla
Orcid: 0000-0002-3344-376XAffiliations:
- Barcelona Supercomputing Center, Spain
According to our database1,
Francisco J. Cazorla
authored at least 250 papers
between 2003 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on orcid.org
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
Artificial Intelligence for Safety-Critical Systems in Industrial and Transportation Domains: A Survey.
ACM Comput. Surv., July, 2024
XeroZerox: Analysis and Optimization of GPU Memory Management for High-Integrity Autonomous Systems.
IEEE Access, 2024
Proceedings of the 39th ACM/SIGAPP Symposium on Applied Computing, 2024
Proceedings of the 30th IEEE International Symposium on On-Line Testing and Robust System Design, 2024
Proceedings of the 27th Euromicro Conference on Digital System Design, 2024
Proceedings of the 27th Euromicro Conference on Digital System Design, 2024
Proceedings of the 27th Euromicro Conference on Digital System Design, 2024
2023
Main sources of variability and non-determinism in AD software: taxonomy and prospects to handle them.
Real Time Syst., September, 2023
Dynamic and execution views to improve validation, testing, and optimization of autonomous driving software.
Softw. Qual. J., June, 2023
On Neural Networks Redundancy and Diversity for Their Use in Safety-Critical Systems.
Computer, May, 2023
Vector Extensions in COTS Processors to Increase Guaranteed Performance in Real-Time Systems.
ACM Trans. Embed. Comput. Syst., March, 2023
Computer, March, 2023
ACM Trans. Design Autom. Electr. Syst., 2023
J. Syst. Archit., 2023
CoRR, 2023
NEUROPULS: NEUROmorphic energy-efficient secure accelerators based on Phase change materials aUgmented siLicon photonicS.
CoRR, 2023
Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023
Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023
A Software-Only Approach to Enable Diverse Redundancy on Intel GPUs for Safety-Related Kernels.
Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023
Improving Timing-Related Guarantees for Main Memory in Multicore Critical Embedded Systems.
Proceedings of the IEEE Real-Time Systems Symposium, 2023
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023
EUROPULS: NEUROmorphic energy-efficient secure accelerators based on Phase change materials aUgmented siLicon photonicS.
Proceedings of the IEEE European Test Symposium, 2023
Quasi Isolation QoS Setups to Control MPSoC Contention in Integrated Software Architectures.
Proceedings of the 35th Euromicro Conference on Real-Time Systems, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Standardizing the Probabilistic Sources of Uncertainty for the sake of Safety Deep Learning.
Proceedings of the Workshop on Artificial Intelligence Safety 2023 (SafeAI 2023) co-located with the Thirty-Seventh AAAI Conference on Artificial Intelligence (AAAI 2023), 2023
2022
A Methodology for Selective Protection of Matrix Multiplications: A Diagnostic Coverage and Performance Trade-off for CNNs Executed on GPUs.
Proceedings of the 6th International Conference on System Reliability and Safety, 2022
Proceedings of the IEEE 40th International Conference on Computer Design, 2022
Using Markov's Inequality with Power-Of-k Function for Probabilistic WCET Estimation.
Proceedings of the 34th Euromicro Conference on Real-Time Systems, 2022
Using Quantile Regression in Neural Networks for Contention Prediction in Multicore Processors.
Proceedings of the 34th Euromicro Conference on Real-Time Systems, 2022
2021
Safe and secure software updates on high-performance mixed-criticality systems: The UP2DATE approach.
Microprocess. Microsystems, November, 2021
IEEE Trans. Sustain. Comput., 2021
IEEE Trans. Emerg. Top. Comput., 2021
Towards functional safety compliance of matrix-matrix multiplication for machine learning-based autonomous systems.
J. Syst. Archit., 2021
J. Parallel Distributed Comput., 2021
MUCH: exploiting pairwise hardware event monitor correlations for improved timing analysis of complex MPSoCs.
Proceedings of the SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, 2021
PRL: Standardizing Performance Monitoring Library for High-Integrity Real-Time Systems.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the 33rd Euromicro Conference on Real-Time Systems, 2021
Enabling Unit Testing of Already-Integrated AI Software Systems: The Case of Apollo for Autonomous Driving.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021
Empirical Evidence for MPSoCs in Critical Systems: The Case of NXP's T2080 Cache Coherence.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
2020
GMAI: Understanding and Exploiting the Internals of GPU Resource Allocation in Critical Systems.
ACM Trans. Embed. Comput. Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Microprocess. Microsystems, 2020
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020
IntPred: flexible, fast, and accurate object detection for autonomous driving systems.
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020
Modeling Contention Interference in Crossbar-based Systems via Sequence-Aware Pairing (SeAP).
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2020
Timing of Autonomous Driving Software: Problem Analysis and Prospects for Future Solutions.
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2020
A Cross-Layer Review of Deep Learning Frameworks to Ease Their Optimization and Reuse.
Proceedings of the 23rd IEEE International Symposium on Real-Time Distributed Computing, 2020
Tracing Hardware Monitors in the GR712RC Multicore Platform: Challenges and Lessons Learnt from a Space Case Study.
Proceedings of the 32nd Euromicro Conference on Real-Time Systems, 2020
UP2DATE: Safe and secure over-the-air software updates on high-performance mixed-criticality systems.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020
Proceedings of the XXXV Conference on Design of Circuits and Integrated Systems, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
2019
IEEE Trans. Computers, 2019
ACM J. Emerg. Technol. Comput. Syst., 2019
Randomization for Safer, more Reliable and Secure, High-Performance Automotive Processors.
IEEE Des. Test, 2019
ACM Comput. Surv., 2019
Proceedings of the 19th International Workshop on Worst-Case Execution Time Analysis, 2019
Proceedings of the 31st International Symposium on Computer Architecture and High Performance Computing, 2019
Proceedings of the 34th ACM/SIGAPP Symposium on Applied Computing, 2019
Proceedings of the 25th IEEE Real-Time and Embedded Technology and Applications Symposium, 2019
Proceedings of the International Symposium on Memory Systems, 2019
Proceedings of the 37th IEEE International Conference on Computer Design, 2019
Understanding and Exploiting the Internals of GPU Resource Allocation for Critical Systems.
Proceedings of the International Conference on Computer-Aided Design, 2019
Generating and Exploiting Deep Learning Variants to Increase Heterogeneous Resource Utilization in the NVIDIA Xavier.
Proceedings of the 31st Euromicro Conference on Real-Time Systems, 2019
Modeling the Impact of Process Variations in Worst-Case Energy Consumption Estimation.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019
An Approach for Detecting Power Peaks During Testing and Breaking Systematic Pathological Behavior.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Maximum-Contention Control Unit (MCCU): Resource Access Count and Contention Time Enforcement.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Assessing the Adherence of an Industrial Autonomous Driving Framework to ISO 26262 Software Guidelines.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
2018
Fitting Software Execution-Time Exceedance into a Residual Random Fault in ISO-26262.
IEEE Trans. Reliab., 2018
EOmesh: Combined Flow Balancing and Deterministic Routing for Reduced WCET Estimates in Embedded Real-Time Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
High-Integrity Performance Monitoring Units in Automotive Chips for Reliable Timing V&V.
IEEE Micro, 2018
IEEE Micro, 2018
IEEE Des. Test, 2018
Proceedings of the 30th International Symposium on Computer Architecture and High Performance Computing, 2018
Proceedings of the 33rd Annual ACM Symposium on Applied Computing, 2018
Proceedings of the 2018 IEEE Real-Time Systems Symposium, 2018
Industrial experiences with resource management under software randomization in ARINC653 avionics environments.
Proceedings of the International Conference on Computer-Aided Design, 2018
HWP: Hardware Support to Reconcile Cache Energy, Complexity, Performance and WCET Estimates in Multicore Real-Time Systems.
Proceedings of the 30th Euromicro Conference on Real-Time Systems, 2018
Design and integration of hierarchical-placement multi-level caches for real-time systems.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Cache side-channel attacks and time-predictability in high-performance critical real-time systems.
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
Measurement-Based Worst-Case Execution Time Estimation Using the Coefficient of Variation.
ACM Trans. Design Autom. Electr. Syst., 2017
Computing Safe Contention Bounds for Multicore Resources with Round-Robin and FIFO Arbitration.
IEEE Trans. Computers, 2017
Microprocess. Microsystems, 2017
Execution time distributions in embedded safety-critical systems using extreme value theory.
Int. J. Data Anal. Tech. Strateg., 2017
On the assessment of probabilistic WCET estimates reliability for arbitrary programs.
EURASIP J. Embed. Syst., 2017
Proceedings of the 12th IEEE International Symposium on Industrial Embedded Systems, 2017
Proceedings of the 12th IEEE International Symposium on Industrial Embedded Systems, 2017
On the tailoring of CAST-32A certification guidance to real COTS multicore architectures.
Proceedings of the 12th IEEE International Symposium on Industrial Embedded Systems, 2017
Proceedings of the 29th International Symposium on Computer Architecture and High Performance Computing, 2017
Work-in-Progress Paper: An Analysis of the Impact of Dependencies on Probabilistic Timing Analysis and Task Scheduling.
Proceedings of the 2017 IEEE Real-Time Systems Symposium, 2017
EPC Enacted: Integration in an Industrial Toolbox and Use against a Railway Application.
Proceedings of the 2017 IEEE Real-Time and Embedded Technology and Applications Symposium, 2017
Design and Implementation of a Time Predictable Processor: Evaluation With a Space Case Study.
Proceedings of the 29th Euromicro Conference on Real-Time Systems, 2017
Proceedings of the Euromicro Conference on Digital System Design, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Reliable Software Technologies - Ada-Europe 2017, 2017
MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding.
Proceedings of the Reliable Software Technologies - Ada-Europe 2017, 2017
2016
ACM Trans. Design Autom. Electr. Syst., 2016
ACM Trans. Embed. Comput. Syst., 2016
IEEE Trans. Computers, 2016
ACM Trans. Archit. Code Optim., 2016
Microprocess. Microsystems, 2016
Proceedings of the 16th International Workshop on Worst-Case Execution Time Analysis, 2016
Mitigating Software-Instrumentation Cache Effects in Measurement-Based Timing Analysis.
Proceedings of the 16th International Workshop on Worst-Case Execution Time Analysis, 2016
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016
Automotive Safety Concept Definition for Mixed-Criticality Integration on a COTS Multicore.
Proceedings of the Computer Safety, Reliability, and Security, 2016
Proceedings of the 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2016
Proceedings of the 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2016
Modelling Probabilistic Cache Representativeness in the Presence of Arbitrary Access Patterns.
Proceedings of the 19th IEEE International Symposium on Real-Time Distributed Computing, 2016
Resilient random modulo cache memories for probabilistically-analyzable real-time systems.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016
Proceedings of the 14th IEEE International Conference on Industrial Informatics, 2016
TASA: toolchain-agnostic static software randomisation for critical real-time systems.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
pTNoC: Probabilistically Time-Analyzable Tree-Based NoC for Mixed-Criticality Systems.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
PROXIMA: Improving Measurement-Based Timing Analysis through Randomisation and Probabilistic Analysis.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
2015
Leibniz Trans. Embed. Syst., 2015
Proceedings of the 10th IEEE International Symposium on Industrial Embedded Systems, 2015
Proceedings of the 30th Annual ACM Symposium on Applied Computing, 2015
Proceedings of the 2015 IEEE Real-Time Systems Symposium, 2015
Proceedings of the IEEE 18th International Symposium on Real-Time Distributed Computing, 2015
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015
CAP: Communication-Aware Allocation Algorithm for Real-Time Parallel Applications on Many-Cores.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015
IEC-61508 SIL 3 Compliant Pseudo-Random Number Generators for Probabilistic Timing Analysis.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Increasing confidence on measurement-based contention bounds for real-time round-robin buses.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the Architecture of Computing Systems - ARCS 2015, 2015
A Safety Concept for a Railway Mixed-Criticality Embedded System Based on Multicore Partitioning.
Proceedings of the 15th IEEE International Conference on Computer and Information Technology, 2015
2014
ACM Trans. Parallel Comput., 2014
ACM Trans. Design Autom. Electr. Syst., 2014
IEEE Trans. Computers, 2014
Timing Verification of Fault-Tolerant Chips for Safety-Critical Applications in Harsh Environments.
IEEE Micro, 2014
Contention in Multicore Hardware Shared Resources: Understanding of the State of the Art.
Proceedings of the 14th International Workshop on Worst-Case Execution Time Analysis, 2014
A Dual-Criticality Memory Controller (DCmc): Proposal and Evaluation of a Space Case Study.
Proceedings of the IEEE 35th IEEE Real-Time Systems Symposium, 2014
Proceedings of the 20th IEEE Real-Time and Embedded Technology and Applications Symposium, 2014
Proceedings of the Euro-Par 2014 Parallel Processing, 2014
Proceedings of the 2014 International Conference on Embedded Software, 2014
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014
Measurement-Based Probabilistic Timing Analysis and Its Impact on Processor Architecture.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Containing Timing-Related Certification Cost in Automotive Systems Deploying Complex Hardware.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
RunPar: An allocation algorithm for automotive applications exploiting runnable parallelism in multicores.
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014
2013
Thread Assignment of Multithreaded Network Applications in Multicore/Multithreaded Processors.
IEEE Trans. Parallel Distributed Syst., 2013
Timing effects of DDR memory systems in hard real-time multicore architectures: Issues and solutions.
ACM Trans. Embed. Comput. Syst., 2013
ACM Trans. Embed. Comput. Syst., 2013
ACM Trans. Embed. Comput. Syst., 2013
ACM Trans. Archit. Code Optim., 2013
Proceedings of the 13th International Workshop on Worst-Case Execution Time Analysis, 2013
Proceedings of the 13th International Workshop on Worst-Case Execution Time Analysis, 2013
Measurement-based probabilistic timing analysis: Lessons from an integrated-modular avionics case study.
Proceedings of the 8th IEEE International Symposium on Industrial Embedded Systems, 2013
Proceedings of the 8th IEEE International Symposium on Industrial Embedded Systems, 2013
Proceedings of the IEEE 34th Real-Time Systems Symposium, 2013
Proceedings of the 21st International Conference on Real-Time Networks and Systems, 2013
Proceedings of the 16th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2013
Proceedings of the 25th Euromicro Conference on Real-Time Systems, 2013
parMERASA - Multi-core Execution of Parallelised Hard Real-Time Applications Supporting Analysability.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
2012
On the evaluation of the impact of shared resources in multithreaded COTS processors in time-critical environments.
ACM Trans. Archit. Code Optim., 2012
Kernel Partitioning of Streaming Applications: A Statistical Approach to an NP-complete Problem.
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012
Proceedings of the 2012 IEEE International Symposium on Workload Characterization, 2012
Proceedings of the 12th International Conference on Embedded Software, 2012
Proceedings of the 24th Euromicro Conference on Real-Time Systems, 2012
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012
2011
Trans. High Perform. Embed. Archit. Compil., 2011
Exploiting intra-task slack time of load operations for DVFS in hard real-time multi-core systems.
SIGBED Rev., 2011
IEEE Micro, 2011
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011
IA^3: An Interference Aware Allocation Algorithm for Multicore Hard Real-Time Systems.
Proceedings of the 17th IEEE Real-Time and Embedded Technology and Applications Symposium, 2011
A Software-Pipelined Approach to Multicore Execution of Timing Predictable Multi-threaded Hard Real-Time Tasks.
Proceedings of the 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2011
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011
Proceedings of the High Performance Embedded Architectures and Compilers, 2011
Proceedings of the 8th Conference on Computing Frontiers, 2011
2010
IEEE Trans. Computers, 2010
IEEE Micro, 2010
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010
Thread to strand binding of parallel network applications in massive multi-threaded systems.
Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2010
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, 2010
Proceedings of the 7th Conference on Computing Frontiers, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
2009
IEEE Embed. Syst. Lett., 2009
Proceedings of the 21st International Symposium on Computer Architecture and High Performance Computing, 2009
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009
Proceedings of the 21st Euromicro Conference on Real-Time Systems, 2009
Proceedings of the PACT 2009, 2009
2008
Proceedings of the ACM/IEEE Conference on High Performance Computing, 2008
Proceedings of the 20th International Symposium on Computer Architecture and High Performance Computing, 2008
Selection of the Register File Size and the Resource Allocation Policy on SMT Processors.
Proceedings of the 20th International Symposium on Computer Architecture and High Performance Computing, 2008
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the 2008 International Conference on Parallel Processing, 2008
Proceedings of the High Performance Embedded Architectures and Compilers, 2008
Proceedings of the Applications of Evolutionary Computing, 2008
Evolutionary system for prediction and optimization of hardware architecture performance.
Proceedings of the IEEE Congress on Evolutionary Computation, 2008
Proceedings of the Architecture of Computing Systems, 2008
2007
Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, 2007
Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, 2007
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007
2006
IEEE Trans. Computers, 2006
2005
Proceedings of the 2005 International Conference on Compilers, 2005
2004
Int. J. High Perform. Comput. Netw., 2004
Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 2004
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 2004 12th European Signal Processing Conference, 2004
Proceedings of the Euro-Par 2004 Parallel Processing, 2004
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004
Proceedings of the First Conference on Computing Frontiers, 2004
2003
Proceedings of the High Performance Computing, 5th International Symposium, 2003