Farinaz Koushanfar
Orcid: 0000-0003-0798-3794Affiliations:
- University of California, San Diego, USA
- University of California, Berkeley, USA (former)
According to our database1,
Farinaz Koushanfar
authored at least 307 papers
between 2000 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2022, "For contributions to secure computing and privacy-preserving machine learning".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on orcid.org
On csauthors.net:
Bibliography
2024
ACM Trans. Multim. Comput. Commun. Appl., November, 2024
ACM Trans. Embed. Comput. Syst., May, 2024
ACM Trans. Reconfigurable Technol. Syst., March, 2024
IEEE Trans. Emerg. Top. Comput., 2024
Near-Memory Computing With Compressed Embedding Table for Personalized Recommendation.
IEEE Trans. Emerg. Top. Comput., 2024
Distributed constrained combinatorial optimization leveraging hypergraph neural networks.
Nat. Mac. Intell., 2024
IACR Cryptol. ePrint Arch., 2024
Intellectual Property Protection of Deep-Learning Systems via Hardware/Software Co-Design.
IEEE Des. Test, 2024
Watermarking Large Language Models and the Generated Content: Opportunities and Challenges.
CoRR, 2024
ICMarks: A Robust Watermarking Framework for Integrated Circuit Physical Design IP Protection.
CoRR, 2024
REMARK-LLM: A Robust and Efficient Watermarking Framework for Generative Large Language Models.
Proceedings of the 33rd USENIX Security Symposium, 2024
Proceedings of the 67th IEEE International Midwest Symposium on Circuits and Systems, 2024
Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD, 2024
Proceedings of the Forty-first International Conference on Machine Learning, 2024
Token-Specific Watermarking with Enhanced Detectability and Semantic Coherence for Large Language Models.
Proceedings of the Forty-first International Conference on Machine Learning, 2024
EmMark: Robust Watermarks for IP Protection of Embedded Quantized Large Language Models.
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
You Can Have Your Cake and Eat It Too: Ensuring Practical Robustness and Privacy in Federated Learning.
Proceedings of the AAAI 2024 Spring Symposium Series, 2024
2023
Systemization of Knowledge: Robust Deep Learning using Hardware-software co-design in Centralized and Federated Settings.
ACM Trans. Design Autom. Electr. Syst., November, 2023
AdaTest: Reinforcement Learning and Adaptive Sampling for On-chip Hardware Trojan Detection.
ACM Trans. Embed. Comput. Syst., March, 2023
AccHashtag: Accelerated Hashing for Detecting Fault-Injection Attacks on Embedded Neural Networks.
ACM J. Emerg. Technol. Comput. Syst., January, 2023
IEEE Trans. Inf. Forensics Secur., 2023
ACM Trans. Embed. Comput. Syst., 2023
Pattern Recognit., 2023
IACR Cryptol. ePrint Arch., 2023
HypOp: Distributed Constrained Combinatorial Optimization leveraging Hypergraph Neural Networks.
CoRR, 2023
Magmaw: Modality-Agnostic Adversarial Attacks on Machine Learning-Based Wireless Communication Systems.
CoRR, 2023
G-Scan: Graph Neural Networks for Line-Level Vulnerability Identification in Smart Contracts.
CoRR, 2023
CoRR, 2023
Smarter Contracts: Detecting Vulnerabilities in Smart Contracts with Deep Transfer Learning.
Proceedings of the 30th Annual Network and Distributed System Security Symposium, 2023
RoVISQ: Reduction of Video Service Quality via Adversarial Attacks on Deep Learning-based Video Compression.
Proceedings of the 30th Annual Network and Distributed System Security Symposium, 2023
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023
ReFace: Adversarial Transformation Networks for Real-time Attacks on Face Recognition Systems.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Network, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 2023 ACM SIGSAC Conference on Computer and Communications Security, 2023
Federated Certainty Equivalence Control for Linear Gaussian Systems with Unknown Decoupled Dynamics and Quadratic Common Cost.
Proceedings of the 59th Annual Allerton Conference on Communication, 2023
2022
IEEE J. Sel. Areas Inf. Theory, 2022
DTRAP, 2022
Text Revealer: Private Text Reconstruction via Model Inversion Attacks against Transformers.
CoRR, 2022
PerD: Perturbation Sensitivity-based Neural Trojan Detection Framework on NLP Applications.
CoRR, 2022
FaceSigns: Semi-Fragile Neural Watermarks for Media Authentication and Countering Deepfakes.
CoRR, 2022
Adversarial Attacks on Deep Learning-based Video Compression and Classification Systems.
CoRR, 2022
LiteTransformerSearch: Training-free On-device Search for Efficient Autoregressive Language Models.
CoRR, 2022
Backdoor Defense in Federated Learning Using Differential Testing and Outlier Detection.
CoRR, 2022
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2022
Proceedings of the 31st USENIX Security Symposium, 2022
LiteTransformerSearch: Training-free Neural Architecture Search for Efficient Language Models.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022
Intellectual Property (IP) Protection for Deep Learning and Federated Learning Models.
Proceedings of the IH&MMSec '22: ACM Workshop on Information Hiding and Multimedia Security, Santa Barbara, CA, USA, June 27, 2022
FastStamp: Accelerating Neural Steganography and Digital Watermarking of Images on FPGAs.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
2021
IEEE Trans. Dependable Secur. Comput., 2021
IEEE Secur. Priv., 2021
Water end-use consumption in low-income households: Evaluation of the impact of preprocessing on the construction of a classification model.
Expert Syst. Appl., 2021
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021
IEEE Des. Test, 2021
IEEE Des. Test, 2021
CoRR, 2021
ESCORT: Ethereum Smart COntRacTs Vulnerability Detection using Deep Neural Network and Transfer Learning.
CoRR, 2021
Adversarial Deepfakes: Evaluating Vulnerability of Deepfake Detectors to Adversarial Examples.
Proceedings of the IEEE Winter Conference on Applications of Computer Vision, 2021
Proceedings of the 30th USENIX Security Symposium, 2021
Proceedings of the IEEE/CVF International Conference on Computer Vision Workshops, 2021
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021
HASHTAG: Hash Signatures for Online Detection of Fault-Injection Attacks on Deep Neural Networks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2021
Proceedings of the ASHES@CCS 2021: Proceedings of the 5th Workshop on Attacks and Solutions in Hardware Security, 2021
Proceedings of the CCS '21: 2021 ACM SIGSAC Conference on Computer and Communications Security, Virtual Event, Republic of Korea, November 15, 2021
Proceedings of the Asian Conference on Machine Learning, 2021
2020
ACM Trans. Design Autom. Electr. Syst., 2020
ACM Trans. Embed. Comput. Syst., 2020
Design and Analysis of Secure and Dependable Automotive CPS: A Steer-by-Wire Case Study.
IEEE Trans. Dependable Secur. Comput., 2020
IEEE Micro, 2020
IEEE J. Sel. Top. Signal Process., 2020
IACR Cryptol. ePrint Arch., 2020
FlowTrojan: Insertion and Detection of Hardware Trojans on Flow-Based Microfluidic Biochips.
Proceedings of the 18th IEEE International New Circuits and Systems Conference, 2020
SpecMark: A Spectral Watermarking Framework for IP Protection of Speech Recognition Systems.
Proceedings of the 21st Annual Conference of the International Speech Communication Association, 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
Proceedings of the GECCO '20: Genetic and Evolutionary Computation Conference, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
AHEC: End-to-end Compiler Framework for Privacy-preserving Machine Learning Acceleration.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
2019
IACR Cryptol. ePrint Arch., 2019
IACR Cryptol. ePrint Arch., 2019
Multisketches: Practical Secure Sketches Using Off-the-Shelf Biometric Matching Algorithms.
IACR Cryptol. ePrint Arch., 2019
CoRR, 2019
CoRR, 2019
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019
DeepMarks: A Secure Fingerprinting Framework for Digital Rights Management of Deep Learning Models.
Proceedings of the 2019 on International Conference on Multimedia Retrieval, 2019
Proceedings of the 46th International Symposium on Computer Architecture, 2019
Proceedings of the 20th Annual Conference of the International Speech Communication Association, 2019
DeepInspect: A Black-box Trojan Detection and Mitigation Framework for Deep Neural Networks.
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019
Proceedings of the International Conference on Computer-Aided Design, 2019
Proceedings of the International Conference on Computer-Aided Design, 2019
Proceedings of the International Conference on Computer-Aided Design, 2019
SparseHD: Algorithm-Hardware Co-optimization for Efficient High-Dimensional Computing.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019
Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
DeepSigns: An End-to-End Watermarking Framework for Ownership Protection of Deep Neural Networks.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019
Proceedings of the 12th IEEE International Conference on Cloud Computing, 2019
2018
ReDCrypt: Real-Time Privacy-Preserving Deep Learning Inference in Clouds Using FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2018
Editorial for TODAES Special Issue on Internet of Things System Performance, Reliability, and Security.
ACM Trans. Design Autom. Electr. Syst., 2018
ACM Trans. Design Autom. Electr. Syst., 2018
ACM Trans. Design Autom. Electr. Syst., 2018
IEEE Trans. Neural Networks Learn. Syst., 2018
DeepSigns: A Generic Watermarking Framework for IP Protection of Deep Learning Models.
IACR Cryptol. ePrint Arch., 2018
IACR Cryptol. ePrint Arch., 2018
IACR Cryptol. ePrint Arch., 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
CausaLearn: Automated Framework for Scalable Streaming-based Causal Bayesian Learning using FPGAs.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018
MAXelerator: FPGA accelerator for privacy preserving multiply-accumulate (MAC) on cloud servers.
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
ACM Trans. Embed. Comput. Syst., 2017
ACM Trans. Embed. Comput. Syst., 2017
IACR Cryptol. ePrint Arch., 2017
IACR Cryptol. ePrint Arch., 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security, 2017
2016
Automated Real-Time Analysis of Streaming Big and Dense Data on Reconfigurable Platforms.
ACM Trans. Reconfigurable Technol. Syst., 2016
IEEE Trans. Multi Scale Comput. Syst., 2016
A Built-in-Self-Test Scheme for Online Evaluation of Physical Unclonable Functions and True Random Number Generators.
IEEE Trans. Multi Scale Comput. Syst., 2016
CoRR, 2016
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Perform-ML: performance optimized machine learning by platform and content aware customization.
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Going deeper than deep learning for massive data analytics under physical constraints.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016
Design and performance analysis of secure and dependable cybercars: A steer-by-wire case study.
Proceedings of the 13th IEEE Annual Consumer Communications & Networking Conference, 2016
D2CyberSoft: A design automation tool for soft error analysis of Dependable Cybercars.
Proceedings of the 13th IEEE Annual Consumer Communications & Networking Conference, 2016
2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
An Energy-Efficient Last-Level Cache Architecture for Process Variation-Tolerant 3D Microprocessors.
IEEE Trans. Computers, 2015
IEEE J. Emerg. Sel. Topics Circuits Syst., 2015
CoRR, 2015
Proceedings of the 2015 IEEE Symposium on Security and Privacy, 2015
Proceedings of the 2015 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Fine-Grained Voltage Boosting for Improving Yield in Near-Threshold Many-Core Processors.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
SSketch: An Automated Framework for Streaming Sketch-Based Analysis of Big Data on FPGA.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 10th ACM Symposium on Information, 2015
Proceedings of the 22nd ACM SIGSAC Conference on Computer and Communications Security, 2015
2014
Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching.
IEEE Trans. Emerg. Top. Comput., 2014
Processor-Based Strong Physical Unclonable Functions With Aging-Based Response Tuning.
IEEE Trans. Emerg. Top. Comput., 2014
Novel Techniques for High-Sensitivity Hardware Trojan Detection Using Thermal and Power Maps.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
A queueing theoretic approach for performance evaluation of low-power multi-core embedded systems.
J. Parallel Distributed Comput., 2014
Automated Design, Implementation, and Evaluation of Arbiter-based PUF on FPGA using Programmable Delay Lines.
IACR Cryptol. ePrint Arch., 2014
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
BIST-PUF: online, hardware-based evaluation of physically unclonable circuit identifiers.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2014, 2014
2013
ACM Trans. Design Autom. Electr. Syst., 2013
High-performance optimizations on tiled many-core embedded systems: a matrix multiplication case study.
J. Supercomput., 2013
IEEE Trans. Inf. Forensics Secur., 2013
IACR Cryptol. ePrint Arch., 2013
IACR Cryptol. ePrint Arch., 2013
Editorial: Low-Power, Intelligent, and Secure Solutions for Realization of Internet of Things.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2013
Idetic: A high-level synthesis approach for enabling long computations on transiently-powered ASICs.
Proceedings of the 2013 IEEE International Conference on Pervasive Computing and Communications, 2013
Automated checkpointing for enabling intensive applications on energy harvesting devices.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 2013 ACM SIGSAC Conference on Computer and Communications Security, 2013
CyCAR'2013: first international academic workshop on security, privacy and dependability for cybervehicles.
Proceedings of the 2013 ACM SIGSAC Conference on Computer and Communications Security, 2013
2012
Gate Characterization Using Singular Value Decomposition: Foundations and Applications.
IEEE Trans. Inf. Forensics Secur., 2012
Provably Secure Active IC Metering Techniques for Piracy Avoidance and Digital Rights Management.
IEEE Trans. Inf. Forensics Secur., 2012
Slender PUF Protocol: A Lightweight, Robust, and Secure Authentication by Substring Matching.
Proceedings of the 2012 IEEE Symposium on Security and Privacy Workshops, 2012
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Hardware Trojan horse benchmark via optimal creation and placement of malicious circuitry.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
IEEE Trans. Inf. Forensics Secur., 2011
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Integrated circuits metering for piracy protection and digital rights management: an overview.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011
Integrated circuit digital rights management techniques using physical level characterization.
Proceedings of the 11th ACM Workshop on Digital Rights Management, 2011
HypoEnergy. Hybrid supercapacitor-battery power-supply optimization for Energy efficiency.
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 48th Design Automation Conference, 2011
FPGA-Based True Random Number Generation Using Circuit Metastability with Adaptive Feedback Control.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2011 - 13th International Workshop, Nara, Japan, September 28, 2011
Proceedings of the sixth ACM workshop on Scalable trusted computing, 2011
2010
IEEE Trans. Signal Process., 2010
IEEE Des. Test Comput., 2010
Proceedings of the 2010 IEEE International Workshop on Information Forensics and Security, 2010
Proceedings of the 2011 IEEE International Test Conference, 2010
Proceedings of the Information Hiding - 12th International Conference, 2010
Proceedings of the Information Hiding - 12th International Conference, 2010
Proceedings of the HOST 2010, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 47th Design Automation Conference, 2010
2009
ACM Trans. Reconfigurable Technol. Syst., 2009
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009
Proceedings of the Information Hiding, 11th International Workshop, 2009
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009
2008
Challenging benchmark for location discovery in ad hoc networks: foundations and applications.
Proceedings of the 9th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, 2008
Proceedings of the 2008 IEEE International Test Conference, 2008
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008
Trusted Integrated Circuits: A Nondestructive Hidden Characteristics Extraction Approach.
Proceedings of the Information Hiding, 10th International Workshop, 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 45th Design Automation Conference, 2008
Input vector control for post-silicon leakage current minimization in the presence of manufacturing variability.
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 2008 International Conference on Compilers, 2008
2007
Techniques for maintaining connectivity in wireless ad-hoc networks under energy constraints.
ACM Trans. Embed. Comput. Syst., 2007
Proceedings of the 16th USENIX Security Symposium, Boston, MA, USA, August 6-10, 2007, 2007
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2007
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2007
Proceedings of the IEEE 4th International Conference on Mobile Adhoc and Sensor Systems, 2007
LaserSPECks: : laser SPECtroscopic trace-gas sensor networks - sensor integration and applications.
Proceedings of the 6th International Conference on Information Processing in Sensor Networks, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 44th Design Automation Conference, 2007
2006
Sleeping Coordination for Comprehensive Sensing Using Isotonic Regression and Domatic Partitions.
Proceedings of the INFOCOM 2006. 25th IEEE International Conference on Computer Communications, 2006
2005
ACM Trans. Design Autom. Electr. Syst., 2005
Proceedings of the 42nd Design Automation Conference, 2005
2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Proceedings of the Handbook of Sensor Networks, 2004
Proceedings of the Handbook of Sensor Networks, 2004
2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
2002
Wirel. Networks, 2002
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002
Global error-tolerant algorithms for location discovery in ad-hoc wireless Netoworks.
Proceedings of the IEEE International Conference on Acoustics, 2002
Proceedings of the 39th Design Automation Conference, 2002
2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Proceedings of the Proceedings IEEE INFOCOM 2001, 2001
Proceedings of the Information Hiding, 4th International Workshop, 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the 38th Design Automation Conference, 2001
2000
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Proceedings of the 37th Conference on Design Automation, 2000