Fabio Sebastiano
Orcid: 0000-0002-8489-9409
According to our database1,
Fabio Sebastiano
authored at least 84 papers
between 2005 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
A Cryo-CMOS Controller With Class-DE Driver and DC Magnetic-Field Tuning for Quantum Computers Based on Color Centers in Diamond.
IEEE J. Solid State Circuits, November, 2024
Cryo-CMOS Voltage References for the Ultrawide Temperature Range From 300 K Down to 4.2 K.
IEEE J. Solid State Circuits, September, 2024
IEEE J. Solid State Circuits, July, 2024
IEEE J. Solid State Circuits, May, 2024
A Cryo-CMOS SAR ADC With FIA Sampling Driver Enabled by Cryogenic-Aware Back-Biasing.
IEEE Trans. Circuits Syst. I Regul. Pap., March, 2024
CoRR, 2024
A 0.9V Rail-to-Rail Ultra-Low-Power Fully Integrated Clock Generator Achieving 23fJ/Cycle in 28nm CMOS.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits 2024, 2024
29.3 A Cryo-CMOS Receiver with 15K Noise Temperature Achieving 9.8dB SNR in 10μs Integration Time for Spin Qubit Readout.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024
29.2 A Cryo-CMOS Controller with Class-DE Driver and DC Magnetic-Field Tuning for Color-Center-Based Quantum Computers.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
2023
IEEE J. Solid State Circuits, May, 2023
IEEE J. Solid State Circuits, 2023
Proceedings of the 19th International Conference on Synthesis, 2023
Scalable multi-chip quantum architectures enabled by cryogenic hybrid wireless/quantum-coherent network-in-package.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023
2022
A Cryo-CMOS Oscillator With an Automatic Common-Mode Resonance Calibration for Quantum Computing Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022
Guest Editorial Introduction to the Special Issue on the 2022 IEEE International Solid-State Circuits Conference (ISSCC).
IEEE J. Solid State Circuits, 2022
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022
A 0.049mm2 7.1-to-16.8GHz Dual-Core Triple-Mode VCO Achieving 200dB FoM<sub>A</sub> in 22nm FinFET.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022
Cryogenic Comparator Characterization and Modeling for a Cryo-CMOS 7b 1-GSa/s SAR ADC.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022
2021
Soft Comput., 2021
A 6-to-8GHz 0.17mW/Qubit Cryo-CMOS Receiver for Multiple Spin Qubit Readout in 40nm CMOS Technology.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021
13.4 A 1GS/s 6-to-8b 0.5mW/Qubit Cryo-CMOS SAR ADC for Quantum Computing in 40nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021
A 2.7mW 45fsrms-Jitter Cryogenic Dynamic-Amplifier-Based PLL for Quantum Computing Applications.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021
2020
A 33-ppm/°C 240-nW 40-nm CMOS Wakeup Timer Based on a Bang-Bang Digital-Intensive Frequency-Locked-Loop for IoT Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020
IEEE Trans. Circuits Syst., 2020
IEEE J. Solid State Circuits, 2020
A Scalable Cryo-CMOS Controller for the Wideband Frequency-Multiplexed Control of Spin Qubits and Transmons.
IEEE J. Solid State Circuits, 2020
19.1 A Scalable Cryo-CMOS 2-to-20GHz Digitally Intensive Controller for 4×32 Frequency Multiplexed Spin Qubits/Transmons in 22nm FinFET Technology for Quantum Computers.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020
19.3 A 200dB FoM 4-to-5GHz Cryogenic Oscillator with an Automatic Common-Mode Resonance Calibration for Quantum Computing Applications.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020
2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
Proceedings of the IEEE 8th International Workshop on Advances in Sensors and Interfaces, 2019
Benefits and Challenges of Designing Cryogenic CMOS RF Circuits for Quantum Computers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Proceedings of the 49th European Solid-State Device Research Conference, 2019
Voltage References for the Ultra-Wide Temperature Range from 4.2K to 300K in 40-nm CMOS.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019
2018
IEEE J. Solid State Circuits, 2018
IEEE J. Solid State Circuits, 2018
IEEE J. Solid State Circuits, 2018
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018
Characterization and Model Validation of Mismatch in Nanometer CMOS at Cryogenic Temperatures.
Proceedings of the 48th European Solid-State Device Research Conference, 2018
Proceedings of the 13th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2018
A co-design methodology for scalable quantum processors and their classical electronic interface.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
2017
IEEE Trans. Circuits Syst. I Regul. Pap., 2017
Compact Thermal-Diffusivity-Based Temperature Sensors in 40-nm CMOS for SoC Thermal Monitoring.
IEEE J. Solid State Circuits, 2017
IEEE J. Solid State Circuits, 2017
Proceedings of the 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017
Proceedings of the IEEE International Conference on Rebooting Computing, 2017
Proceedings of the 47th European Solid-State Device Research Conference, 2017
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
2016
11.4 1650µm2 thermal-diffusivity sensors with inaccuracies down to ±0.75°C in 40nm CMOS.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016
Characterization of bipolar transistors for cryogenic temperature sensors in standard CMOS.
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016
CryoCMOS hardware technology a classical infrastructure for a scalable quantum computer.
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016
2015
27.8 A 4600μm<sup>2</sup> 1.5°C (3σ) 0.9kS/s thermal-diffusivity temperature sensor with VCO-based readout.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015
Proceedings of the IECON 2015, 2015
A 2800-μm2 thermal-diffusivity temperature sensor with VCO-based readout in 160-nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015
2014
17.5 A 0.07mm<sup>2</sup> 2-channel instrumentation amplifier with 0.1% gain matching in 0.16μm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014
A 0.008-mm<sup>2</sup> area-optimized thermal-diffusivity-based temperature sensor in 160-nm CMOS for SoC thermal monitoring.
Proceedings of the ESSCIRC 2014, 2014
2013
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013
A 0.1-mm<sup>2</sup> 3-channel area-optimized ΣΔ ADC in 0.16-µm CMOS with 20-kHz BW and 86-dB DR.
Proceedings of the ESSCIRC 2013, 2013
2011
A 65-nm CMOS Temperature-Compensated Mobility-Based Frequency Reference for Wireless Sensor Networks.
IEEE J. Solid State Circuits, 2011
A 1.8 μ W 60 nV √ Hz Capacitively-Coupled Chopper Instrumentation Amplifier in 65 nm CMOS for Wireless Sensor Nodes.
IEEE J. Solid State Circuits, 2011
Effects of packaging and process spread on a mobility-based frequency reference in 0.16-μm CMOS.
Proceedings of the 37th European Solid-State Circuits Conference, 2011
2010
A 1.2-V 10-μ W NPN-Based Temperature Sensor in 65-nm CMOS With an Inaccuracy of 0.2°C (3 Sigma ) From - 70°C to 125°C.
IEEE J. Solid State Circuits, 2010
IEEE J. Solid State Circuits, 2010
A 1.2V 10µW NPN-based temperature sensor in 65nm CMOS with an inaccuracy of ±0.2°C (3s) from -70°C to 125°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010
A 2.4GHz 830pJ/bit duty-cycled wake-up receiver with -82dBm sensitivity for crystal-less wireless sensor nodes.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010
A 1.8µW 1µV-offset capacitively-coupled chopper instrumentation amplifier in 65nm CMOS.
Proceedings of the 36th European Solid-State Circuits Conference, 2010
2009
IEEE Trans. Circuits Syst. I Regul. Pap., 2009
IEEE J. Solid State Circuits, 2009
Proceedings of the 35th European Solid-State Circuits Conference, 2009
2006
IEEE Trans. Circuits Syst. II Express Briefs, 2006
2005
A tunable CMOS transconductor for ultra-low Gm with wide differential input voltage range.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005