Erik Jan Marinissen
Orcid: 0000-0002-5058-8303
According to our database1,
Erik Jan Marinissen
authored at least 174 papers
between 1998 and 2025.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2011, "For contributions to modular testing of core-based system chips".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2025
Generating Test Patterns for Chiplet Interconnects With Optimized Effectiveness and Efficiency.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2025
2024
IEEE Des. Test, 2024
IEEE Std P3405: New Standard-under-Development for Chiplet Interconnect Test and Repair.
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
Proceedings of the IEEE International Test Conference, 2024
Possible Origins, Identification, and Screening of Silent Data Corruption in Data Centers.
Proceedings of the IEEE International Reliability Physics Symposium, 2024
Proceedings of the IEEE European Test Symposium, 2024
Proceedings of the IEEE European Test Symposium, 2024
New Standard-under-Development for Chiplet Interconnect Test and Repair: IEEE Std P3405.
Proceedings of the IEEE European Test Symposium, 2024
Proceedings of the IEEE European Test Symposium, 2024
2023
Proceedings of the 41st IEEE VLSI Test Symposium, 2023
Effective and Efficient Testing of Large Numbers of Inter-Die Interconnects in Chiplet-Based Multi-Die Packages.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023
Magnetic Coupling Based Test Development for Contact and Interconnect Defects in STT-MRAMs.
Proceedings of the IEEE International Test Conference, 2023
Wafer-Scale Electrical Characterization of Silicon Quantum Dots from Room to Low Temperatures.
Proceedings of the IEEE International Test Conference, 2023
Proceedings of the IEEE International Test Conference in Asia, 2023
Generating Test Patterns for Chiplet Interconnects: Achieving Optimal Effectiveness and Efficiency.
Proceedings of the IEEE International Test Conference in Asia, 2023
Study of Transistor Metrics for Room-Temperature Screening of Single Electron Transistors for Silicon Spin Qubit Applications.
Proceedings of the IEEE European Test Symposium, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the 32nd IEEE Asian Test Symposium, 2023
Effective and Efficient Test and Diagnosis Pattern Generation for Many Inter-Die Interconnects in Chiplet-Based Packages.
Proceedings of the IEEE International 3D Systems Integration Conference, 2023
2022
IEEE Trans. Circuits Syst. II Express Briefs, 2022
MFA-MTJ Model: Magnetic-Field-Aware Compact Model of pMTJ for Robust STT-MRAM Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Computers, 2022
IEEE Des. Test, 2022
IEEE Des. Test, 2022
2021
IEEE Trans. Emerg. Top. Comput., 2021
Reducing Library Characterization Time for Cell-aware Test while Maintaining Test Quality.
J. Electron. Test., 2021
IEEE Des. Test, 2021
Proceedings of the IEEE International Test Conference, 2021
Proceedings of the 26th IEEE European Test Symposium, 2021
Speeding up Cell-Aware Library Characterization by Preceding Simulation with Structural Analysis.
Proceedings of the 26th IEEE European Test Symposium, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
2020
Characterization, Modeling and Test of Synthetic Anti-Ferromagnet Flip Defect in STT-MRAMs.
Proceedings of the IEEE International Test Conference, 2020
Accurate Measurements of Small Resistances in Vertical Interconnects with Small Aspect Ratios.
Proceedings of the IEEE European Test Symposium, 2020
Tightening the Mesh Size of the Cell-Aware ATPG Net for Catching All Detectable Weakest Faults.
Proceedings of the IEEE European Test Symposium, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
2019
Proceedings of the IEEE Latin American Test Symposium, 2019
Proceedings of the IEEE International Test Conference, 2019
Proceedings of the IEEE International Test Conference, 2019
Optimization of Cell-Aware ATPG Results by Manipulating Library Cells' Defect Detection Matrices.
Proceedings of the IEEE International Test Conference in Asia, 2019
Proceedings of the 24th IEEE European Test Symposium, 2019
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019
2018
Proceedings of the IEEE International Test Conference, 2018
Solutions to Multiple Probing Challenges for Test Access to Multi-Die Stacked Integrated Circuits.
Proceedings of the IEEE International Test Conference, 2018
On-Chip Toggle Generators to Provide Realistic Conditions during Test of Digital 2D-SoCs and 3D-SICs.
Proceedings of the IEEE International Test Conference, 2018
Proceedings of the 23rd IEEE European Test Symposium, 2018
IEEE Std P1838's flexible parallel port and its specification with Google's protocol buffers.
Proceedings of the 23rd IEEE European Test Symposium, 2018
2017
Guest Editors' Introduction: Design & Test of a High-Volume 3-D Stacked Graphics Processor With High-Bandwidth Memory.
IEEE Des. Test, 2017
Embedded toggle generator to control the switching activity during test of digital 2D-SoCs and 3D-SICs.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017
A fully automatic test system for characterizing large-array fine-pitch micro-bump probe cards.
Proceedings of the International Test Conference in Asia, 2017
2016
Proceedings of the 21th IEEE European Test Symposium, 2016
Proceedings of the 21th IEEE European Test Symposium, 2016
Proceedings of the 21th IEEE European Test Symposium, 2016
2015
ACM Trans. Design Autom. Electr. Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Computers, 2015
A DfT Architecture and Tool Flow for 3-D SICs With Test Data Compression, Embedded Cores, and Multiple Towers.
IEEE Des. Test, 2015
Proceedings of the Symposium on VLSI Circuits, 2015
Proceedings of the 2015 IEEE International Test Conference, 2015
Proceedings of the 24th IEEE Asian Test Symposium, 2015
Proceedings of the 2015 International 3D Systems Integration Conference, 2015
2014
IEEE Trans. Very Large Scale Integr. Syst., 2014
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014
Direct probing on large-array fine-pitch micro-bumps of a wide-I/O logic-memory interface.
Proceedings of the 2014 International Test Conference, 2014
Proceedings of the 2014 International Test Conference, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
2013
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013
Uncertainty-aware robust optimization of test-access architectures for 3D stacked ICs.
Proceedings of the 2013 IEEE International Test Conference, 2013
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013
Automated DfT insertion and test generation for 3D-SICs with embedded cores and multiple towers.
Proceedings of the 18th IEEE European Test Symposium, 2013
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013
2012
J. Electron. Test., 2012
J. Electron. Test., 2012
J. Electron. Test., 2012
DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks.
Proceedings of the 2012 IEEE International Test Conference, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Challenges and emerging solutions in testing TSV-based 2 1 over 2D- and 3D-stacked ICs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
2011
Proceedings of the 3D Integration for NoC-based SoC Architectures, 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Proceedings of the 2011 IEEE International Test Conference, 2011
Post-bond testing of 2.5D-SICs and 3D-SICs containing a passive silicon interposer base.
Proceedings of the 2011 IEEE International Test Conference, 2011
Proceedings of the 6th IEEE International Design and Test Workshop, 2011
Proceedings of the 16th European Test Symposium, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
Multi-visit TAMs to Reduce the Post-Bond Test Length of 2.5D-SICs with a Passive Silicon Interposer Base.
Proceedings of the 20th IEEE Asian Test Symposium, 2011
2010
J. Electron. Test., 2010
Proceedings of the 28th IEEE VLSI Test Symposium, 2010
Proceedings of the 2011 IEEE International Test Conference, 2010
Proceedings of the 2011 IEEE International Test Conference, 2010
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010
On the cost-effectiveness of matching repositories of pre-tested wafers for wafer-to-wafer 3D chip stacking.
Proceedings of the 15th European Test Symposium, 2010
Proceedings of the 15th European Test Symposium, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
Challenges in testing TSV-based 3D stacked ICs: Test flows, test contents, and test access.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010
Proceedings of the IEEE International Conference on 3D System Integration, 2010
Proceedings of the IEEE International Conference on 3D System Integration, 2010
2009
Testing of SoCs with Hierarchical Cores: Common Fallacies, Test Access Optimization, and Test Scheduling.
IEEE Trans. Computers, 2009
IEEE Des. Test Comput., 2009
IEEE Des. Test Comput., 2009
IEEE Des. Test Comput., 2009
Proceedings of the 2009 IEEE International Test Conference, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Eighteentgh Asian Test Symposium, 2009
Proceedings of the IEEE International Conference on 3D System Integration, 2009
2008
IEEE Des. Test Comput., 2008
Proceedings of the 13th European Test Symposium, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
2007
Wrapper design for the reuse of a bus, network-on-chip, or other functional interconnect as test access mechanism.
IET Comput. Digit. Tech., 2007
Proceedings of the 2007 IEEE International Test Conference, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2006
Proceedings of the 11th European Test Symposium, 2006
Hierarchy-aware and area-efficient test infrastructure design for core-based system chips.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
2005
Proceedings of the 10th European Test Symposium, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the 9th European Test Symposium, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004
2003
ACM Trans. Design Autom. Electr. Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
Test Access Mechanism Optimization, Test Scheduling, and Tester Data Volume Reduction for System-on-Chip.
IEEE Trans. Computers, 2003
A Test Time Reduction Algorithm for Test Architecture Design for Core-Based System Chips.
J. Electron. Test., 2003
IEEE Des. Test Comput., 2003
Proceedings of the 8th European Test Workshop, 2003
Proceedings of the 8th European Test Workshop, 2003
Layout-Driven SOC Test Architecture Design for Test Time and Wire Length Minimization.
Proceedings of the 2003 Design, 2003
2002
The Role of Test Protocols in Automated Test Generation for Embedded-Core-Based System ICs.
J. Electron. Test., 2002
J. Electron. Test., 2002
How Useful are the ITC 02 SoC Test Benchmarks?
IEEE Des. Test Comput., 2002
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Test Resource Optimization for Multi-Site Testing of SOCs Under ATE Memory Depth Constraints.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
A novel test time reduction algorithm for test architecture design for core-based system chips.
Proceedings of the 7th European Test Workshop, 2002
Proceedings of the 2002 Design, 2002
Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs.
Proceedings of the 39th Design Automation Conference, 2002
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002
2001
J. Electron. Test., 2001
Testing Embedded Core-Based System Chips.
Proceedings of the 2nd Latin American Test Workshop, 2001
An Industrial Approach to Core-Based System Chip Testing.
Proceedings of the SOC Design Methodologies, 2001
2000
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000
Proceedings of the 37th Conference on Design Automation, 2000
1999
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999
Proceedings of the 4th European Test Workshop, 1999
1998
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998