Eric Beyne
Orcid: 0000-0002-3096-050X
According to our database1,
Eric Beyne
authored at least 90 papers
between 1995 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Backside Power Delivery with relaxed overlay for backside patterning using extreme wafer thinning and Molybdenum-filled slit nano Through Silicon Vias.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits 2024, 2024
Proceedings of the IEEE Symposium on VLSI Technology and Circuits 2024, 2024
Clean Dicing: An Alternative Blade Dicing Technique for Minimising Particles in 3D Heterogeneous Integration.
Proceedings of the International 3D Systems Integration Conference, 2024
2023
Microelectron. J., September, 2023
Proceedings of the 41st IEEE VLSI Test Symposium, 2023
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the IEEE International 3D Systems Integration Conference, 2023
2022
84%-Efficiency Fully Integrated Voltage Regulator for Computing Systems Enabled by 2.5-D High-Density MIM Capacitor.
IEEE Trans. Very Large Scale Integr. Syst., 2022
IEEE Trans. Very Large Scale Integr. Syst., 2022
Scaled FinFETs Connected by Using Both Wafer Sides for Routing via Buried Power Rails.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022
Opportunities of Chip Power Integrity and Performance Improvement through Wafer Backside (BS) Connection: Invited Paper.
Proceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding, 2022
Reliability Investigation of W2W Hybrid Bonding Interface: Breakdown Voltage and Leakage Mechanism.
Proceedings of the IEEE International Reliability Physics Symposium, 2022
2021
Localization of Electrical Defects in Hybrid Bonding Interconnect Structures by Scanning Photocapacitance Microscopy.
IEEE Trans. Instrum. Meas., 2021
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021
Design And Sign-off Methodologies For Wafer-To-Wafer Bonded 3D-ICs At Advanced Nodes (invited).
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2021
2019
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019
Design Enablement of Fine Pitch Face-to-Face 3D System Integration using Die-by-Die Place & Route.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019
2018
Enhanced Cu pillar design to reduce thermomechanical stress induced during flip chip assembly.
Microelectron. Reliab., 2018
IEICE Electron. Express, 2018
Proceedings of the IEEE International Reliability Physics Symposium, 2018
Proceedings of the IEEE International Reliability Physics Symposium, 2018
2017
Impact of via density and passivation thickness on the mechanical integrity of advanced Back-End-Of-Line interconnects.
Microelectron. Reliab., 2017
Lock-in thermal laser stimulation for non-destructive failure localization in 3-D devices.
Microelectron. Reliab., 2017
2016
IEEE Des. Test, 2016
Importance of alignment control during permanent bonding and its impact on via-last alignment for high density 3D interconnects.
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016
Continuity and reliability assessment of a scalable 3×50μm and 2×40μm via-middle TSV module.
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016
2015
Microstructure simulation of grain growth in Cu through silicon vias using phase-field modeling.
Microelectron. Reliab., 2015
Proceedings of the Symposium on VLSI Circuits, 2015
Proceedings of the IEEE International Reliability Physics Symposium, 2015
Proceedings of the 2015 International Conference on IC Design & Technology, 2015
Proceedings of the 2015 International Conference on IC Design & Technology, 2015
Proceedings of the 2015 International 3D Systems Integration Conference, 2015
Permanent wafer bonding in the low temperature by using various plasma enhanced chemical vapour deposition dielectrics.
Proceedings of the 2015 International 3D Systems Integration Conference, 2015
Proceedings of the 2015 International 3D Systems Integration Conference, 2015
2014
Microelectron. Reliab., 2014
Reliability challenges for barrier/liner system in high aspect ratio through silicon vias.
Microelectron. Reliab., 2014
Fast convolution based thermal model for 3D-ICs: Methodology, accuracy analysis and package impact.
Microelectron. J., 2014
System Level Comparison of 3D Integration Technologies for Future Mobile MPSoC Platform.
IEEE Embed. Syst. Lett., 2014
Comparative study of 3D stacked IC and 3D interposer integration: Processing and assembly challenges.
Proceedings of the 2014 International 3D Systems Integration Conference, 2014
Proceedings of the 2014 International 3D Systems Integration Conference, 2014
2013
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013
2012
3D chip package interaction thermo-mechanical challenges: Proximity effects of Through Silicon vias and μ-bumps.
Proceedings of the IEEE International Conference on IC Design & Technology, 2012
2011
IEEE J. Solid State Circuits, 2011
An analytical compact model for estimation of stress in multiple Through-Silicon Via configurations.
Proceedings of the Design, Automation and Test in Europe, 2011
3D heterogeneous system integration: application driver for 3D technology development.
Proceedings of the 48th Design Automation Conference, 2011
Ultrathin wafer handling in 3D Stacked IC manufacturing combining a novel ZoneBOND™ temporary bonding process with room temperature peel debonding.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011
Electrical, thermal and mechanical impact of 3D TSV and 3D stacking technology on advanced CMOS devices - Technology directions.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011
2010
Proceedings of the IEEE International Solid-State Circuits Conference, 2010
Verifying electrical/thermal/thermo-mechanical behavior of a 3D stack - Challenges and solutions.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010
Proceedings of the IEEE International Conference on 3D System Integration, 2010
300mm wafer thinning and backside passivation compatibility with temporary wafer bonding for 3D stacked IC applications.
Proceedings of the IEEE International Conference on 3D System Integration, 2010
Proceedings of the IEEE International Conference on 3D System Integration, 2010
2009
Proc. IEEE, 2009
Proceedings of the IEEE International Conference on 3D System Integration, 2009
3D Stacked IC demonstrator using Hybrid Collective Die-to-Wafer bonding with copper Through Silicon Vias (TSV).
Proceedings of the IEEE International Conference on 3D System Integration, 2009
Die stacking using 3D-wafer level packaging copper/polymer through-si via technology and Cu/Sn interconnect bumping.
Proceedings of the IEEE International Conference on 3D System Integration, 2009
2007
Thermal cycling reliability of SnAgCu and SnPb solder joints: A comparison for several IC-packages.
Microelectron. Reliab., 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
2006
IEEE Trans. Very Large Scale Integr. Syst., 2006
Microelectron. J., 2006
Proceedings of the Eigth International Workshop on System-Level Interconnect Prediction (SLIP 2006), 2006
Proceedings of the International Symposium on System-on-Chip, 2006
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006
Proceedings of the 28th International Conference of the IEEE Engineering in Medicine and Biology Society, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
2005
Proceedings of the Seventh International Workshop on System-Level Interconnect Prediction (SLIP 2005), 2005
2004
Microelectron. Reliab., 2004
2003
Modified micro-macro thermo-mechanical modelling of ceramic ball grid array packages.
Microelectron. Reliab., 2003
Advantage of In-situ over Ex-situ techniques as reliability tool: Aging kinetics of Imec's MCM-D discrete passives devices.
Microelectron. Reliab., 2003
2000
1996
Proceedings of the 1996 European Design and Test Conference, 1996
1995
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995