Donatella Sciuto
Orcid: 0000-0001-9030-6940
According to our database1,
Donatella Sciuto
authored at least 413 papers
between 1987 and 2024.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2011, "For contributions to embedded system design".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on orcid.org
On csauthors.net:
Bibliography
2024
Proceedings of the IEEE International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, 2024
Towards the Acceleration of the Sparse Blossom Algorithm for Quantum Error Correction.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2024
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2024
An Untraceable Credential Revocation Approach Based on a Novel Merkle Tree Accumulator.
Proceedings of the IEEE International Conference on Blockchain and Cryptocurrency, 2024
Proceedings of the Advanced Information Networking and Applications, 2024
2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023
IEEE Trans. Parallel Distributed Syst., 2023
Pushing the Level of Abstraction of Digital System Design: A Survey on How to Program FPGAs.
ACM Comput. Surv., 2023
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023
Proceedings of the IEEE International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, 2023
Proceedings of the 20th IEEE International Conference on Smart Technologies, 2023
Proceedings of the 20th IEEE International Conference on Smart Technologies, 2023
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
2022
IEEE J. Biomed. Health Informatics, 2022
IEEE Trans. Computers, 2022
Proceedings of the 2022 IEEE International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Behavioral Synthesis for Hardware Security, 2022
2021
IEEE Trans. Very Large Scale Integr. Syst., 2021
Tunneling Trust Into the Blockchain: A Merkle Based Proof System for Structured Documents.
IEEE Access, 2021
Proceedings of the IEEE Symposium on Computers and Communications, 2021
Plaster: an Embedded FPGA-based Cluster Orchestrator for Accelerated Distributed Algorithms.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2021
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021
2020
SIGBED Rev., 2020
Acknowledging Value of Personal Information: a Privacy Aware Data Market for Health and Social Research.
Proceedings of the 3rd Distributed Ledger Technology Workshop Co-located with ITASEC 2020, 2020
Proceedings of the IEEE Symposium on Computers and Communications, 2020
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020
Hardware resources analysis of BNNs splitting for FARD-based multi-FPGAs Distributed Systems.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020
BNNsplit: Binarized Neural Networks for embedded distributed FPGA-based computing systems.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
2019
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2019
2018
ACM Trans. Cyber Phys. Syst., 2018
ACM Trans. Auton. Adapt. Syst., 2018
SIGMETRICS Perform. Evaluation Rev., 2018
Int. J. Parallel Program., 2018
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018
Proceedings of the VLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms, 2018
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018
FIDA: A Framework to Automatically Integrate FPGA Kernels Within Data-Science Applications.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018
2017
Proceedings of the Handbook of Hardware/Software Codesign., 2017
2016
Parallelizing the Chambolle Algorithm for Performance-Optimized Mapping on FPGA Devices.
ACM Trans. Embed. Comput. Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
ACM Trans. Archit. Code Optim., 2016
Proceedings of the 2nd IEEE International Forum on Research and Technologies for Society and Industry Leveraging a better tomorrow, 2016
EXTRA: Towards the exploitation of eXascale technology for reconfigurable architectures.
Proceedings of the 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2016
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016
A polyhedral model-based framework for dataflow implementation on FPGA devices of iterative stencil loops.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 2016 IEEE Intl Conference on Computational Science and Engineering, 2016
2015
FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration.
Microprocess. Microsystems, 2015
Proceedings of the 2nd IEEE World Forum on Internet of Things, 2015
Explicitly isolating data and computation in high level synthesis: the role of polyhedral framework.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015
Proceedings of the 2015 ACM International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2015 ACM International Symposium on Wearable Computers, 2015
Proceedings of the 13th IEEE International Conference on Embedded and Ubiquitous Computing, 2015
Methods and Algorithms for the Interaction of Residential Smart Buildings with Smart Grids.
Proceedings of the 13th IEEE International Conference on Embedded and Ubiquitous Computing, 2015
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
EXTRA: Towards an Efficient Open Platform for Reconfigurable High Performance Computing.
Proceedings of the 18th IEEE International Conference on Computational Science and Engineering, 2015
2014
A Mapping-Scheduling Algorithm for Hardware Acceleration on Reconfigurable Platforms.
ACM Trans. Reconfigurable Technol. Syst., 2014
ACM Trans. Archit. Code Optim., 2014
SIGBED Rev., 2014
IEEE Des. Test, 2014
A SystemC-based framework for the simulation of appliances networks in energy-aware smart spaces.
Proceedings of the IEEE World Forum on Internet of Things, 2014
BlueSentinel: a first approach using iBeacon for an energy efficient occupancy detection system.
Proceedings of the 1st ACM Conference on Embedded Systems for Energy-Efficient Buildings, 2014
FPGA-Based Design Using the FASTER Toolchain: The Case of STM Spear Development Board.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014
An Open-Source, Efficient, and Parameterizable Hardware Implementation of the AES Algorithm.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014
Proceedings of the 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, 2014
Proceedings of the 2014 ACM International Joint Conference on Pervasive and Ubiquitous Computing, 2014
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014
2013
A Simulation-Based Framework for the Exploration of Mapping Solutions on Heterogeneous MPSoCs.
Int. J. Embed. Real Time Commun. Syst., 2013
Design Methods for Parallel Hardware Implementation of Multimedia Iterative Algorithms.
IEEE Des. Test, 2013
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013
Proceedings of the 24th IEEE International Symposium on Rapid System Prototyping, 2013
D-RECS: A complete methodology to implement Self Dynamic Reconfigurable FPGA-based systems.
Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013
Proceedings of 2013 International Conference on IC Design & Technology, 2013
Proceedings of the 2013 ACM International Joint Conference on Pervasive and Ubiquitous Computing, 2013
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013
A high-level synthesis flow for the implementation of iterative stencil loop algorithms on FPGA devices.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the ACM Symposium on Cloud Computing, SOCC '13, 2013
Proceedings of the ACM Symposium on Cloud Computing, SOCC '13, 2013
Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, 2013
Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, 2013
Ant Colony Optimization for mapping, scheduling and placing in reconfigurable systems.
Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, 2013
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, 2013
2012
ACM Trans. Reconfigurable Technol. Syst., 2012
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012
Proceedings of the 2012 Workshop on Managing Systems Automatically and Dynamically, 2012
Proceedings of the 13th Latin American Test Workshop, 2012
An automated framework for the simulation of mapping solutions on heterogeneous MPSoCs.
Proceedings of the 2012 International Symposium on System on Chip, 2012
An Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systems.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012
Mini-Robot's Performance Optimization via Online Reconfiguration and HW/SW Task Scheduling.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012
On the automatic integration of hardware accelerators into FPGA-based embedded systems.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Automated real-time atrial fibrillation detection on a wearable wireless sensor platform.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012
FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Tacit Consent: A Technique to Reduce Redundant Transmissions from Spatially Correlated Nodes in Wireless Sensor Networks.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Metronome: operating system level performance management via self-adaptive computing.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 15th IEEE International Conference on Computational Science and Engineering, 2012
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
B<sup>2</sup>IRS: A Technique to Reduce BAN-BAN Interferences in Wireless Sensor Networks.
Proceedings of the 2012 Ninth International Conference on Wearable and Implantable Body Sensor Networks, 2012
2011
Applying dynamic reconfiguration in the mobile robotics domain: A case study on computer vision algorithms.
ACM Trans. Reconfigurable Technol. Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Int. J. Reconfigurable Comput., 2011
Interorganisational systems within SMEs aggregations: an exploratory study on information requirements of an industrial district.
Int. J. Inf. Technol. Manag., 2011
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
Proceedings of the 1st International Workshop on Computing in Heterogeneous, 2011
Proceedings of the Architecture of Computing Systems - ARCS 2011, 2011
2010
ACM Trans. Reconfigurable Technol. Syst., 2010
Ant Colony Heuristic for Mapping and Scheduling Tasks and Communications on Heterogeneous Embedded Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
Guest Editors' Introduction: Special Section on System-Level Design of Reliable Architectures.
IEEE Trans. Computers, 2010
IEEE Micro, 2010
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010
Designing and validating access policies to reconfigurable resources in Multiprocessor Systems on chip.
Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Analysis and validation of partially dynamically reconfigurable architecture based on Xilinx FPGAs.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
Multiprocessor systems-on-chip synthesis using multi-objective evolutionary computation.
Proceedings of the Genetic and Evolutionary Computation Conference, 2010
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Operating system runtime management of partially dynamically reconfigurable embedded systems.
Proceedings of the 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia, 2010
A reconfigurable multiprocessor architecture for a reliable face recognition implementation.
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 7th Conference on Computing Frontiers, 2010
Mapping and scheduling of parallel C applications with ant colony optimization onto heterogeneous reconfigurable MPSoCs.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
Partitioning and Scheduling of Task Graphs on Partially Dynamically Reconfigurable FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
ReSP: A Nonintrusive Transaction-Level Reflective MPSoC Simulation Platform for Design Space Exploration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
Proceedings of the Parallel Computing: From Multicores and GPU's to Petascale, 2009
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Reconfigurable NoC design flow for multiple applications run-time mapping on FPGA devices.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009
Evolutionary algorithms for the mapping of pipelined applications onto heterogeneous embedded systems.
Proceedings of the Genetic and Evolutionary Computation Conference, 2009
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Mapping pipelined applications onto heterogeneous embedded systems: a bayesian optimization algorithm based approach.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009
Minimization of the reconfiguration latency for the mapping of applications on FPGA-based systems.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009
Prototyping pipelined applications on a heterogeneous FPGA multiprocessor virtual platform.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
J. Syst. Archit., 2008
J. Electron. Test., 2008
EURASIP J. Embed. Syst., 2008
A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication.
Proceedings of the VLSI-SoC: Design Methodologies for SoC and SiP, 2008
Ant colony optimization for mapping and scheduling in heterogeneous multiprocessor systems.
Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, 2008
Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, 2008
Core Allocation and Relocation Management for a Self Dynamically Reconfigurable Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008
A Multi-objective Genetic Algorithm for Design Space Exploration in High-Level Synthesis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008
Design methodology for partial dynamic reconfiguration: a new degree of freedom in the HW/SW codesign.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
HARPE: A Harvard-based processing element tailored for partial dynamic reconfigurable architectures.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the FPL 2008, 2008
Proceedings of the 6th IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, 2008
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008
A Design Workflow for the Identification of Area Constraints in Dynamic Reconfigurable Systems.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Task Scheduling with Configuration Prefetching and Anti-Fragmentation techniques on Dynamically Reconfigurable Systems.
Proceedings of the Design, Automation and Test in Europe, 2008
Concurrency emulation and analysis of parallel applications for multi-processor system-on-chip co-design.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008
High-level synthesis with multi-objective genetic algorithm: A comparative encoding analysis.
Proceedings of the IEEE Congress on Evolutionary Computation, 2008
The Shining embedded system design methodology based on self dynamic reconfigurable architectures.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
ReSP: A non-intrusive Transaction-Level Reflective MPSoC Simulation Platform for design space exploration.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
Proceedings of the 19th IEEE International Conference on Application-Specific Systems, 2008
Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems, 2008
2007
Guest Editorial [intro. to the special issue on the 2006 IEEE/ACM Design, Automation and Test in Europe Conference].
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Proceedings of the IFIP VLSI-SoC 2007, 2007
Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, 2007
Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, 2007
An Internal Partial Dynamic Reconfiguration Implementation of the JPEG Encoder for Low-Cost FPGAsb.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Two Novel Approaches to Online Partial Bitstream Relocation in a Dynamically Reconfigurable System.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007
Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
Proceedings of the Embedded System Design: Topics, Techniques and Trends, IFIP TC10 Working Conference: International Embedded Systems Symposium (IESS), May 30, 2007
A novel SoC design methodology combining adaptive software and reconfigurable hardware.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007
Task Partitioning for the Scheduling on Reconfigurable Systems driven by Specification Self-Similarity.
Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2007
A Framework for Reliability Assessment and Enhancement in Multi-Processor Systems-On-Chip.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007
Proceedings of the 44th Design Automation Conference, 2007
Proceedings of the IEEE Congress on Evolutionary Computation, 2007
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007
2006
IEEE Trans. Computers, 2006
Proceedings of the IFIP VLSI-SoC 2006, 2006
A graph-coloring approach to the allocation and tasks scheduling for reconfigurable architectures.
Proceedings of the IFIP VLSI-SoC 2006, 2006
An Application Mapping Methodology and Case Study for Multi-Processor On-Chip Architectures.
Proceedings of the IFIP VLSI-SoC 2006, 2006
A Power-Efficient Methodology for Mapping Applications on Multi-Processor, System-on-Chip Architectures.
Proceedings of the VLSI-SoC: Research Trends in VLSI and Systems on Chip, 2006
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006
Proceedings of the Parallel Problem Solving from Nature, 2006
Dynamic Reconfiguration: Core Relocation via Partial Bitstreams Filtering with Minimal Overhead.
Proceedings of the International Symposium on System-on-Chip, 2006
Combining hardware reconfiguration and adaptive computation for a novel SoC design methodology.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006
Partial Dynamic Reconfiguration: The Caronte Approach. A New Degree of Freedom in the HW/SW Codesign.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006
SyCERS: a SystemC Design Exploration Framework for SoC Reconfigurable Architecture.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006
Synthesis of Object Oriented Models on Reconfigurable Hardware.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006
Using speculative computation and parallelizing techniques to improve scheduling of control based designs.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006
2005
Factors affecting ERP system adoption: A comparative analysis between SMEs and large companies.
J. Enterp. Inf. Manag., 2005
Int. J. Parallel Program., 2005
Des. Autom. Embed. Syst., 2005
Caronte: A methodology for the Implementation of Partially dynamically Self-Reconfiguring Systems on FPGA Platforms.
Proceedings of the VLSI-SoC: From Systems To Silicon, 2005
Proceedings of the Proceedings 2005 IEEE International SOC Conference, 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Using Critical Success Factors for Assessing Critical Activities in ERP Implementation within SMEs.
Proceedings of the ICEIS 2005, 2005
Proceedings of the Forum on specification and Design Languages, 2005
Proceedings of the Forum on specification and Design Languages, 2005
Caronte: A Complete Methodology for the Implementation of Partially Dynamically Self-Reconfiguring Systems on FPGA Platforms.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005
Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, 2005
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005
Proceedings of the 2005 Design, 2005
Exploring the Role of Inter-Organizational Information Systems within SMEs Aggregations.
Proceedings of the 18th Bled eConference: eIntegration in Action, 2005
2004
Proceedings of the EDUTECH, 2004
Proceedings of the Genetic and Evolutionary Computation, 2004
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004
Proceedings of the 2nd IEEE International Workshop on Electronic Design, 2004
Proceedings of the 2nd IEEE International Workshop on Electronic Design, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
Plug-in of power models in the StepNP exploration platform: analysis of power/performance trade-offs.
Proceedings of the 2004 International Conference on Compilers, 2004
2003
IEEE Trans. Reliab., 2003
IEEE Trans. Instrum. Meas., 2003
A Methodology for the Efficient Architectural Exploration of Energy-Delay Trade-offs for Embedded Systems.
Proceedings of the 2003 ACM Symposium on Applied Computing (SAC), 2003
An Internal Representation Model for System-Level Co-Design of Heterogeneous Multiprocessor Embedded System.
Proceedings of the Forum on specification and Design Languages, 2003
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 40th Design Automation Conference, 2003
Mining interesting patterns from hardware-software codesign data with the learning classifier system XCS.
Proceedings of the IEEE Congress on Evolutionary Computation, 2003
2002
IEEE Trans. Very Large Scale Integr. Syst., 2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
Test Generation and Testability Alternatives Exploration of Critical Algorithms for Embedded Applications.
IEEE Trans. Computers, 2002
J. Circuits Syst. Comput., 2002
J. Electron. Test., 2002
Des. Autom. Embed. Syst., 2002
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002
Proceedings of the 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 2002 Design, 2002
An Instruction-Level Methodology for Power Estimation and Optimization of Embedded VLIW Cores.
Proceedings of the 2002 Design, 2002
Energy estimation and optimization of embedded VLIW processors based on instruction clustering.
Proceedings of the 39th Design Automation Conference, 2002
Metrics for design space exploration of heterogeneous multiprocessor embedded systems.
Proceedings of the Tenth International Symposium on Hardware/Software Codesign, 2002
2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Softw. Process. Improv. Pract., 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Fast system-level exploration of memory architectures driven by energy-delay metrics.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001
Proceedings of the 7th IEEE International On-Line Testing Workshop (IOLTW 2001), 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the Conference on Design, Automation and Test in Europe, 2001
Proceedings of the Conference on Design, Automation and Test in Europe, 2001
Proceedings of the Ninth International Symposium on Hardware/Software Codesign, 2001
Proceedings of the Ninth International Symposium on Hardware/Software Codesign, 2001
2000
Design of VHDL-based totally self-checking finite-state machine and data-path descriptions.
IEEE Trans. Very Large Scale Integr. Syst., 2000
Symbolic optimization of interacting controllers based onredundancy identification and removal.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000
IEEE Trans. Computers, 2000
J. Syst. Archit., 2000
Guest Editor's Introduction: Design Tools for Embedded Systems.
IEEE Des. Test Comput., 2000
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000
Proceedings of the 13th International Symposium on System Synthesis, 2000
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000
ICT diffusion and strategic role within Italian SMEs.
Proceedings of the Challenges of Information Technology Management in the 21st Century, 2000
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000
Proceedings of the 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 2000
An instruction-level functionally-based energy estimation model for 32-bits microprocessors.
Proceedings of the 37th Conference on Design Automation, 2000
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000
1999
Synthesis for Testability of Highly Complex Controllers by Functional Redundancy Removal.
IEEE Trans. Computers, 1999
Power Estimation of System-Level Buses for Microprocessor-Based Architectures: A Case Study.
Proceedings of the IEEE International Conference On Computer Design, 1999
Proceedings of the 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), 1999
Proceedings of the 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), 1999
Influence of Caching and Encoding on Power Dissipation of System-Level Buses for Embedded Systems.
Proceedings of the 1999 Design, 1999
Proceedings of the 1999 Design, 1999
Power estimation for architectural exploration of HW/SW communication on system-level buses.
Proceedings of the Seventh International Workshop on Hardware/Software Codesign, 1999
Proceedings of the Reliable Software Technologies, 1999
1998
IEEE Trans. Very Large Scale Integr. Syst., 1998
IEEE Trans. Very Large Scale Integr. Syst., 1998
IEEE Trans. Very Large Scale Integr. Syst., 1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
Integr. Comput. Aided Eng., 1998
IEEE Des. Test Comput., 1998
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998
Proceedings of the 11th International Symposium on System Synthesis, 1998
Automatic VHDL restructuring for RTL synthesis optimization and testability improvement.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998
Proceedings of the 13th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '98), 1998
Proceedings of the 1998 Design, 1998
Proceedings of the 1998 Design, 1998
Proceedings of the 1998 Design, 1998
1997
ACM Trans. Design Autom. Electr. Syst., 1997
J. Syst. Archit., 1997
Integr., 1997
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Application of a Testing Framework to VHDL Descriptions at Different Abstraction Levels.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997
Parity Bit Code: Achieving a Complete Fault Coverage in the Design of TSC Combinational Networks.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997
Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997
Proceedings of the 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 1997
Proceedings of the 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 1997
Proceedings of the European Design and Test Conference, 1997
Proceedings of the Fifth International Workshop on Hardware/Software Codesign, 1997
1996
Des. Autom. Embed. Syst., 1996
The Use of a Virtual Instruction Set for the Software Synthesis of HW/SW Embedded Systems.
Proceedings of the 9th International Symposium on System Synthesis, 1996
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996
Proceedings of the conference on European design automation, 1996
Proceedings of the conference on European design automation, 1996
Proceedings of the 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1996
Proceedings of the 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1996
Proceedings of the 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1996
Proceedings of the 33st Conference on Design Automation, 1996
Proceedings of the Forth International Workshop on Hardware/Software Codesign, 1996
1995
Construction techniques for systematic SEC-DED codes with single byte error detection and partial correction capability for computer memory systems.
IEEE Trans. Inf. Theory, 1995
J. Electron. Test., 1995
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995
GECO: A Tool for Automatic Generation of Error Control Codes for Computer Applications.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995
Behavior of Self-Checking Checkers for 1-out-of-3 Codes Based on Pass-Transistor Logic.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995
Data Path Testability Analysis Based on BDDs.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995
Proceedings of the Proceedings EURO-DAC'95, 1995
Proceedings of the 1995 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1995
Proceedings of the 1995 European Design and Test Conference, 1995
Proceedings of the 1995 European Design and Test Conference, 1995
1994
IEEE Trans. Very Large Scale Integr. Syst., 1994
IEEE Trans. Computers, 1994
Constraint Generation & Placement for Automatic Layout Design of Analog Integrated Circuits.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994
Proceedings of the Proceedings EURO-DAC'94, 1994
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994
Synthesis of Multi-level Self-Checking Logic.
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1994
Test Generation for Stuck-at and Gate-Delay Faults in Sequential Circuits: A Mixed Functional/Structural Method.
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1994
A CMOS Fault Tolerant Architecture for Swith-Level Faults.
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1994
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994
1993
Proceedings of the Sixth International Conference on VLSI Design, 1993
An Expert Solution to Functional Testability Analysis of VLSI Circuits.
Proceedings of the SEKE'93, 1993
Functional Testing and Constrained Synthesis of Sequential Architectures.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993
Proceedings of the European Design Automation Conference 1993, 1993
Fault Detection in Sequential Circuits through Functional Testing.
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1993
Reduction of Fault Detection Costs through Testable Design of Sequential Architectures with Signal Feedbacks.
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1993
1992
Microprocess. Microprogramming, 1992
J. Electron. Test., 1992
Proceedings of the conference on European design automation, 1992
1991
Microprocessing and Microprogramming, 1991
Protocol Conformance Testing by Discriminating UIO Sequences.
Proceedings of the Protocol Specification, 1991
Proceedings of the conference on European design automation, 1991
1990
Microprocessing and Microprogramming, 1990
Evaluation and improvement of fault coverage for verification and validation of protocols.
Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, 1990
A Routing Algorithm for Harvesting Multipipeline Arrays with Small Intercell and Pipeline Delays.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990
1989
Microprocess. Microsystems, 1989
Microprocess. Microprogramming, 1989
1988
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988
Microprocess. Microprogramming, 1988
Array partitioning: a methodology for reconfigurability and reconfiguration problems.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988
1987
Microprocess. Microprogramming, 1987
A Technique for Reconfiguring Two Dimensional VLSI Arrays.
Proceedings of the 8th IEEE Real-Time Systems Symposium (RTSS '87), 1987